|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 3198 occurrences of 1135 keywords
|
|
|
Results
Found 7385 publication records. Showing 7385 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
21 | Sying-Jyan Wang, Tsi-Ming Tsai |
Test and diagnosis of fault logic blocks in FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1997, San Jose, CA, USA, November 9-13, 1997, pp. 722-727, 1997, IEEE Computer Society / ACM, 0-8186-8200-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
FPGA, Test, Diagnosis, BIST |
21 | James G. Eldredge, Brad L. Hutchings |
Run-Time Reconfiguration: A method for enhancing the functional density of SRAM-based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 12(1), pp. 67-86, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
21 | Vamsi Boppana, Prashant Saxena, Prithviraj Banerjee, W. Kent Fuchs, C. L. Liu 0001 |
A Parallel Algorithm for the Technology Mapping of LUT-Based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par, Vol. I ![In: Euro-Par '96 Parallel Processing, Second International Euro-Par Conference, Lyon, France, August 26-29, 1996, Proceedings, Volume I, pp. 828-831, 1996, Springer, 3-540-61626-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
21 | Fran Hancheck, Shantanu Dutt |
Design Methodologies for Tolerating Cell and Interconnect Faults in FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1996 International Conference on Computer Design (ICCD '96), VLSI in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings, pp. 326-331, 1996, IEEE Computer Society, 0-8186-7554-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
21 | Larry McMurchie, Carl Ebeling |
PathFinder: A Negotiation-based Performance-driven Router for FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the Third International ACM Symposium on Field-Programmable Gate Arrays,FPGA 1995, Monterey, California, USA, February 12-14, 1995, pp. 111-117, 1995, ACM, 0-89791-743-X. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
21 | Anmol Mathur, Kuang-Chien Chen, C. L. Liu 0001 |
Applications of Slack Neighborhood Graphs to Timing Driven Optimization Problems in FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the Third International ACM Symposium on Field-Programmable Gate Arrays,FPGA 1995, Monterey, California, USA, February 12-14, 1995, pp. 118-124, 1995, ACM, 0-89791-743-X. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
21 | Erik Brunvand |
Using FPGAs to implement self-timed systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 6(2), pp. 173-190, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
21 | Jouni Isoaho, Jari Pasanen, Olli Vainio, Hannu Tenhunen |
DSP system integration and prototyping with FPGAS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 6(2), pp. 155-172, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
21 | Kenneth M. Zick, John P. Hayes |
On-line sensing for healthier FPGA systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, FPGA 2010, Monterey, California, USA, February 21-23, 2010, pp. 239-248, 2010, ACM, 978-1-60558-911-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
on-line sensing, physically-adaptive computing., fpgas, reliability, process variation, leakage, temperature, dynamic power, ring oscillator, static power, health management |
21 | Jason Helge Anderson, Chirag Ravishankar |
FPGA power reduction by guarded evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, FPGA 2010, Monterey, California, USA, February 21-23, 2010, pp. 157-166, 2010, ACM, 978-1-60558-911-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
optimization, field-programmable gate arrays, fpgas, low-power design, power, logic synthesis, technology mapping |
21 | Pranav Vaidya, Jaehwan John Lee, Francis Bowen, Yingzi Du, Chandima H. Nadungodage, Yuni Xia |
Symbiote: a reconfigurable logic assisted data streammanagement system (RLADSMS). ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the ACM SIGMOD International Conference on Management of Data, SIGMOD 2010, Indianapolis, Indiana, USA, June 6-10, 2010, pp. 1147-1150, 2010, ACM, 978-1-4503-0032-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
fpgas, hardware accelerator, data stream management systems |
21 | Walid A. Najjar, Jason R. Villarreal |
Reconfigurable Computing in the New Age of Parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMOS ![In: Embedded Computer Systems: Architectures, Modeling, and Simulation, 9th International Workshop, SAMOS 2009, Samos, Greece, July 20-23, 2009. Proceedings, pp. 255-262, 2009, Springer, 978-3-642-03137-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
FPGAs, Reconfigurable computing |
21 | Luiz Fernando Gonçalves, Jefferson Luiz Bosa, Renato V. B. Henriques, Marcelo Lubaszewski |
Design of an embedded system for the proactive maintenance of electrical valves. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, SBCCI 2009, Natal, Brazil, August 31 - September 3, 2009, 2009, ACM, 978-1-60558-705-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
electromechanical systems, novel applications of FPGAs, testability issues, embedded systems |
21 | Chen Huang 0005, Frank Vahid |
Transmuting coprocessors: dynamic loading of FPGA coprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 848-851, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
coprocessing, FPGAs, online algorithms, dynamic optimization, acceleration, runtime configuration |
21 | Ted Huffmire, Brett Brotherton, Nick Callegari, Jonathan Valamehr, Jeff White, Ryan Kastner, Timothy Sherwood |
Designing secure systems on reconfigurable hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 13(3), pp. 44:1-44:24, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
controlled sharing, enforcement mechanisms, security primitives, Field programmable gate arrays (FPGAs), static analysis, advanced encryption standard (AES), security policies, systems-on-a-chip (SoCs), separation, isolation, memory protection, reference monitors, hardware security, execution monitors |
21 | Scott Davidson 0001 |
How to make your own processor architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 25(1), pp. 96-98, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
system-on-chip computing, FPGAs, ASICs, processor architecture, processor design |
21 | Rui Marcelino, Horácio C. Neto, João M. P. Cardoso |
Sorting Units for FPGA-Based Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DIPES ![In: Distributed Embedded Systems: Design, Middleware and Resources, IFIP 20th World Computer Congress, TC10 Working Conference on Distributed and Parallel Embedded Systems (DIPES 2008), September 7-10, 2008, Milano, Italy, pp. 11-22, 2008, Springer, 978-0-387-09660-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
FPGAs, embedded systems, sorting, special-purpose architecture |
21 | Duncan A. Buell, Tarek A. El-Ghazawi, Kris Gaj, Volodymyr V. Kindratenko |
Guest Editors' Introduction: High-Performance Reconfigurable Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 40(3), pp. 23-27, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
HPRCs, FPGAs, reconfigurable computing |
21 | R. U. R. Mocho, G. H. Sartori, Renato P. Ribas, André Inácio Reis |
Asynchronous circuit design on reconfigurable devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2006, Ouro Preto, MG, Brazil, August 28 - September 1, 2006, pp. 20-25, 2006, ACM. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
FPGAs, asynchronous circuits |
21 | Xuan Zhang, Cesar Ortega-Sanchez, Iain Murray 0002 |
Hardware-based text-to-braille translator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASSETS ![In: Proceedings of the 8th International ACM SIGACCESS Conference on Computers and Accessibility, ASSETS 2006, Portland, Oregon, USA, October 23-25, 2006, pp. 229-230, 2006, ACM, 1-59593-290-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
braille translation, FPGAs, VHDL |
21 | David Sheldon, Rakesh Kumar 0002, Frank Vahid, Dean M. Tullsen, Roman L. Lysecky |
Conjoining soft-core FPGA processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 694-701, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
conjoined processors, parameterized platforms, soft-core processors, FPGAs, customization, tuning |
21 | Padmini Gopalakrishnan, Xin Li 0001, Lawrence T. Pileggi |
Architecture-aware FPGA placement using metric embedding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 460-465, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
FPGAs, placement, metric embedding |
21 | Ana Toledo Moreo, Cristina Vicente-Chicote, Juan Suardíaz Muro, Sergio A. Cuenca |
Xilinx System Generator Based HW Components for Rapid Prototyping of Computer Vision SW/HW Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IbPRIA (1) ![In: Pattern Recognition and Image Analysis, Second Iberian Conference, IbPRIA 2005, Estoril, Portugal, June 7-9, 2005, Proceedings, Part I, pp. 667-674, 2005, Springer, 3-540-26153-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
FPGAs, prototyping, Simulink, co-simulation, image processing applications |
21 | Pak K. Chan, Martine D. F. Schlag |
Parallel placement for field-programmable gate arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA 2003, Monterey, CA, USA, February 23-25, 2003, pp. 43-50, 2003, ACM, 1-58113-651-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
parallel placement, FPGAs, timing-driven placement, analytical placement |
21 | Alberto Bertoni, Paola Campadelli, Giuliano Grossi |
A Neural Algorithm for the Maximum Clique Problem: Analysis, Experiments, and Circuit Implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Algorithmica ![In: Algorithmica 33(1), pp. 71-88, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
FPGAs, Combinatorial Optimization, Hopfield networks, Maximum Clique |
21 | Narasimhan Ramasubramanian, Ram Subramanian, Santosh Pande |
Automatic Compilation of Loops to Exploit Operator Parallelism on Configurable Arithmetic Logic Units. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 13(1), pp. 45-66, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
operator parallelism, FPGAs, parallel computing, Compilers, loop transformation, reconfigurable systems |
21 | Srinivasan Dasasathyan, Rajesh Radhakrishnan, Ranga Vemuri |
Framework for Synthesis of Virtual Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC/VLSI Design ![In: Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), and the 15th International Conference on VLSI Design (VLSI Design 2002), Bangalore, India, January 7-11, 2002, pp. 326-331, 2002, IEEE Computer Society, 0-7695-1299-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Virtual Pipeline, SLAAC-1V board, JHDL, FPGAs, Pipelining, Dynamic Reconfiguration, Partial Reconfiguration |
21 | Peter Bellows, Brad L. Hutchings |
Designing Run-Time Reconfigurable Systems with JHDL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 28(1-2), pp. 29-45, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
FPGAs, image processing, CAD, configurable computing |
21 | Cheng-Hsing Yang, Sao-Jie Chen, Jan-Ming Ho, Chia-Chun Tsai |
Efficient routability check algorithms for segmented channel routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 5(3), pp. 735-747, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
field programmable gate arryas (FPGAs), segmented channel, routing |
21 | Michel Renovell, Jean-Michel Portal, Penelope Faure, Joan Figueras, Yervant Zorian |
TOF: a tool for test pattern generation optimization of an FPGA application oriented test. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asian Test Symposium ![In: 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, pp. 323-328, 2000, IEEE Computer Society, 0-7695-0887-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
TOF tool, test pattern generation optimization, FPGA application oriented test, application-oriented test procedure, RAM-based FPGAs, AC nonredundant fault coverage, circuit netlist, TPG optimisation tool, field programmable gate arrays, logic testing, optimisation, integrated circuit testing, automatic test pattern generation, ATPG |
21 | Christian Kreiner, Christian Steger, Reinhold Weiss |
A Hardware/Software Cosimulation Environment for DSP Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 25th EUROMICRO '99 Conference, Informatics: Theory and Practice for the New Millenium, 8-10 September 1999, Milan, Italy, pp. 1492-1495, 1999, IEEE Computer Society, 0-7695-0321-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
FPGAs, ADA, VHDL, digital signal processors, cosimulation |
21 | Peichen Pan, C. L. Liu 0001 |
Optimal clock period FPGA technology mapping for sequential circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 3(3), pp. 437-462, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
FPGAs, field-programmable gate arrays, retiming, technology mapping, look-up tables, logic replication, clock period, sequential synthesis |
21 | Carl Ebeling, Darren C. Cronquist, Paul Franklin |
Configurable computing: the catalyst for high-performance architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 1997 International Conference on Application-Specific Systems, Architectures, and Processors (ASAP '97), 14-16 July 1997, Zurich, Switzerland, pp. 364-373, 1997, IEEE Computer Society, 0-8186-7958-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
high-performance architectures, cost-performance, application-specific computation pipelines, static configuration, FPGAs, computational complexity, computer architectures, configurable computing, dynamic control, RaPiD, application-specific hardware |
21 | Vaughn Betz, Jonathan Rose |
Directional bias and non-uniformity in FPGA global routing architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1996, San Jose, CA, USA, November 10-14, 1996, pp. 652-659, 1996, IEEE Computer Society / ACM, 0-8186-7597-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Field-Programmable Gate Arrays (FPGAs), Placement, Global Routing |
21 | Adam Postula, David Abramson 0001, Paul Logothetis |
The Design of a Specialised Processor for the Simulation of Sintering A. Postula. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 22rd EUROMICRO Conference '96, Beyond 2000: Hardware and Software Design Strategies, September 2-5, 1996, Prague, Czech Republic, pp. 501-508, 1996, IEEE Computer Society, 0-8186-7487-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
specialised processor, sintering simulation, metallurgical sintering, commercially available gate array technology, Xilinx FPGA, Aptix FPIC switch technology, FPGAs, Monte-Carlo simulation, special purpose computers |
21 | Anmol Mathur, Kuang-Chien Chen, C. L. Liu 0001 |
Re-engineering of timing constrained placements for regular architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, ICCAD 1995, San Jose, California, USA, November 5-9, 1995, pp. 485-490, 1995, IEEE Computer Society / ACM, 0-8186-7213-7. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
Xilinx 3000 FPGA architecture, engineering requirements, regular architectures, timing constrained placements reengineering, FPGAs, field programmable gate arrays, logic CAD, program debugging, systems re-engineering, logic arrays, design flow, gate arrays, design specification, timing performance, design cycle, design debugging |
21 | Shashidhar Thakur, D. F. Wong 0001 |
Simultaneous area and delay minimum K-LUT mapping for K-exact networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings, pp. 402-408, 1995, IEEE Computer Society, 0-8186-7165-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
area/delay minimum K-LUT mapping, K-exact networks, technology mapping problem, lookup table FPGAs, area minimization problem, K-bounded networks, delay minimization problem, flow-map algorithm, field programmable gate arrays, computational complexity, complexity, NP-complete, logic design, polynomial time algorithm, programmable logic arrays, table lookup, minimisation of switching nets |
21 | Uwe Hinsberger, Reiner Kolla |
Optimal technology mapping for single output cells. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), March 16-18, 1995, The State University of New York at Buffalo, USA, pp. 14-, 1995, IEEE Computer Society, 0-8186-7035-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
optimal technology mapping, single output cells, DAG-mapping, minimum delay mapping, duplication-free mapping, logic duplication, AT-tradeoffs, LUT-FPGAs, field programmable gate arrays, delays, Boolean functions, Boolean functions, logic CAD, table lookup, cost functions, circuit optimisation, lookup table |
20 | Michael Mattioli |
FPGAs in Client Compute Hardware: Despite certain challenges, FPGAs provide security and performance benefits over ASICs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Queue ![In: ACM Queue 19(6), pp. 66-88, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Yue Zha, Jing Li 0073 |
When application-specific ISA meets FPGAs: a multi-layer virtualization framework for heterogeneous cloud FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Virtual Event, USA, April 19-23, 2021, pp. 123-134, 2021, ACM, 978-1-4503-8317-2. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Matteo Bertolino |
Efficient scheduling of applications onto cloud FPGAs. (Ordonnancement efficace des applications sur cloud FPGAs). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2021 |
RDF |
|
20 | Frank Bubenhagen |
Analysis and Enhancement of a Fault-Tolerant NoC for SRAM-based FPGAs in Space Applications (Analyse und Erweiterung eines fehler-toleranten NoC für SRAM-basierte FPGAs in Weltraumapplikationen) (PDF / PS) ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2020 |
DOI RDF |
|
20 | Georgios Christodoulis |
Adapting a HPC runtime system to FPGAs. (Adaption d'un système HPC pour intégrer des FPGAs). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2019 |
RDF |
|
20 | Andrew E. Wilson, Michael J. Wirthlin |
Reconfigurable Real-Time Video Pipelines on SRAM-based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019, pp. 1-7, 2019, IEEE, 978-1-7281-1957-1. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Joseph Gravellier, Jean-Max Dutertre, Yannick Teglia, Philippe Loubet-Moundi |
High-Speed Ring Oscillator based Sensors for Remote Side-Channel Attacks on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019, pp. 1-8, 2019, IEEE, 978-1-7281-1957-1. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Atiyehsadat Panahi, Keaten Stokke, David Andrews 0001 |
A Library of FSM-based Floating-Point Arithmetic Functions on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019, pp. 1-8, 2019, IEEE, 978-1-7281-1957-1. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Patrick Plagwitz, Franz-Josef Streit, Andreas Becher, Stefan Wildermann, Jürgen Teich |
Compiler-Based High-Level Synthesis of Application-Specific Processors on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019, pp. 1-8, 2019, IEEE, 978-1-7281-1957-1. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Abhi D. Rajagopala, Ron Sass, Andrew G. Schmidt |
Volcan: System Integration of HLS and HMC on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019, pp. 1-2, 2019, IEEE, 978-1-7281-1957-1. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Elif Bilge Kavun, Nele Mentens, Jo Vliegen, Tolga Yalçin |
Efficient Utilization of DSPs and BRAMs Revisited: New AES-GCM Recipes on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019, pp. 1-2, 2019, IEEE, 978-1-7281-1957-1. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Corbin Thurlow, Hayden Rowberry, Michael J. Wirthlin |
TURTLE: A Low-Cost Fault Injection Platform for SRAM-based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019, pp. 1-8, 2019, IEEE, 978-1-7281-1957-1. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Andrei Hagiescu, Martin Langhammer, Bogdan Pasca 0001, Philip Colangelo, Jason Thong, Niayesh Ilkhani |
BFLOAT MLP Training Accelerator for FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019, pp. 1-5, 2019, IEEE, 978-1-7281-1957-1. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Sina Boroumand, Philip Brisk |
Approximate Adder Tree Synthesis for FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2019 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2019, Cancun, Mexico, December 9-11, 2019, pp. 1-8, 2019, IEEE, 978-1-7281-1957-1. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Paul Sathre, Ahmed E. Helal, Wu-chun Feng |
A Composable Workflow for Productive Heterogeneous Computing on FPGAs via Whole-Program Analysis and Transformation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018, pp. 1-8, 2018, IEEE, 978-1-7281-1968-7. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
20 | Daniel Ziener, Jutta Pirkl, Jürgen Teich |
Configuration Tampering of BRAM-based AES Implementations on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018, pp. 1-7, 2018, IEEE, 978-1-7281-1968-7. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
20 | Vladimir Estivill-Castro, René Hexel, Morgan McColl |
High-Level Executable Models of Reactive Real-Time Systems with Logic-Labelled Finite-State Machines and FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2018 International Conference on ReConFigurable Computing and FPGAs, ReConFig 2018, Cancun, Mexico, December 3-5, 2018, pp. 1-8, 2018, IEEE, 978-1-7281-1968-7. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
20 | Vincent Mirian, Paul Chow |
Enabling FPGAs as a True Device in the OpenCL Standard: Bridging the Gap for FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWOCL ![In: Proceedings of the 5th International Workshop on OpenCL, IWOCL 2017, Toronto, Canada, May 16-18, 2017, pp. 5:1-5:12, 2017, ACM, 978-1-4503-5214-7. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Christopher Blochwitz, Raphael Klink, Jan Moritz Joseph, Thilo Pionteck |
Continuous live-tracing as debugging approach on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017, pp. 1-8, 2017, IEEE, 978-1-5386-3797-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Pedro Bruel, Alfredo Goldman, Sai Rahul Chalamalasetti, Dejan S. Milojicic |
Autotuning high-level synthesis for FPGAs using OpenTuner and LegUp. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017, pp. 1-6, 2017, IEEE, 978-1-5386-3797-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Ian J. Barge, Cristinel Ababei |
H.264 video decoder implemented on FPGAs using 3×3 and 2×2 networks-on-chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017, pp. 1-6, 2017, IEEE, 978-1-5386-3797-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Andreas Engel 0003, Andreas Koch 0001 |
Energy-efficient reconfiguration of flash-based FPGAs in heterogeneous wireless sensor nodes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017, pp. 1-8, 2017, IEEE, 978-1-5386-3797-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Pongstorn Maidee, Alireza Kaviani, Kevin Zeng |
LinkBlaze: Efficient global data movement for FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017, pp. 1-8, 2017, IEEE, 978-1-5386-3797-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Diogo Parrinha, Ricardo Chaves |
Flexible and low-cost HSM based on non-volatile FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017, pp. 1-8, 2017, IEEE, 978-1-5386-3797-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Tuncay Soylu, Oguzhan Erdem, Aydin Carus, Edip S. Güner |
Simple CART based real-time traffic classification engine on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017, pp. 1-8, 2017, IEEE, 978-1-5386-3797-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Muhammad Usman Tariq, Umer I. Cheema, Fahad Saeed |
Power-efficient and highly scalable parallel graph sampling using FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017, pp. 1-6, 2017, IEEE, 978-1-5386-3797-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Girish Deshpande, Dinesh K. Bhatia |
Microchannels for thermal management in FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017, pp. 1-5, 2017, IEEE, 978-1-5386-3797-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | David C. Keezer, Jingchi Yang |
Biologically inspired hierarchical structure for self-repairing FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017, pp. 1-8, 2017, IEEE, 978-1-5386-3797-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Hanqing Zeng, Chi Zhang 0022, Viktor K. Prasanna |
Fast generation of high throughput customized deep learning accelerators on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2017, Cancun, Mexico, December 4-6, 2017, pp. 1-8, 2017, IEEE, 978-1-5386-3797-5. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Pankaj Shanker |
Spatial Debug & Debug Without Re-programming in FPGAs: On-Chip debugging in FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Monterey, CA, USA, February 21-23, 2016, pp. 3, 2016, ACM, 978-1-4503-3856-1. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Farid Lahrach |
Tolérance aux pannes des circuits FPGAs à base de mémoire SRAM. (Fault tolerance of SRAM-based FPGAs circuits). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2016 |
RDF |
|
20 | Benjamin R. Buhrow, William J. Goetzinger, Barry K. Gilbert |
1 Tb/s anti-replay protection with 20-port on-chip RAM memory in FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016, pp. 1-8, 2016, IEEE, 978-1-5090-3707-0. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Thomas B. Preußer, Markus Krause |
Survey on and re-evaluation of wide adder architectures on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016, pp. 1-6, 2016, IEEE, 978-1-5090-3707-0. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Sen Ma, David Andrews 0001, Shanyuan Gao, Jaime Cummins |
Breeze computing: A just in time (JIT) approach for virtualizing FPGAs in the cloud. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016, pp. 1-6, 2016, IEEE, 978-1-5090-3707-0. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Steffen Vaas, Marc Reichenbach, Ulrich Margull, Dietmar Fey |
The R2-D2 toolchain - Automated porting of safety-critical applications to FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016, pp. 1-7, 2016, IEEE, 978-1-5090-3707-0. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Lukas Johannes Jung, Christian Hochberger |
Optimal processor interface for CGRA-based accelerators implemented on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016, pp. 1-7, 2016, IEEE, 978-1-5090-3707-0. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Jens Rettkowski, Konstantin Friesen, Diana Göhringer |
RePaBit: Automated generation of relocatable partial bitstreams for Xilinx Zynq FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016, pp. 1-8, 2016, IEEE, 978-1-5090-3707-0. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Michail S. Vavouras, Christos-Savvas Bouganis |
Area-driven partial reconfiguration for SEU mitigation on SRAM-based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016, pp. 1-6, 2016, IEEE, 978-1-5090-3707-0. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Wen Wang 0007, Jakub Szefer, Ruben Niederhagen |
Solving large systems of linear equations over GF(2) on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016, pp. 1-7, 2016, IEEE, 978-1-5090-3707-0. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Skip Booth |
Keynote 2 - FPGAs in the datacenter - A software view. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016, pp. 1, 2016, IEEE, 978-1-5090-3707-0. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Florian Rittner, Robért Glein, Albert Heuberger |
Detection and Isolation of permanent faults in FPGAs with remote access. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2016, Cancun, Mexico, November 30 - Dec. 2, 2016, pp. 1-4, 2016, IEEE, 978-1-5090-3707-0. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Frederik Grüll |
Acceleration of biomedical image processing and reconstruction with FPGAs (Beschleunigung biomedizinischer Bildverarbeitung und -rekonstruktion mit FPGAs) (PDF / PS) ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2015 |
RDF |
|
20 | Vincent Mirian, Paul Chow |
Evaluating shared virtual memory in an OpenCL framework for embedded systems on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015, pp. 1-8, 2015, IEEE, 978-1-4673-9406-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
20 | Gordon Ghiu |
Keynote 2 - Towards datacenter computing with FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015, pp. 1, 2015, IEEE, 978-1-4673-9406-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
20 | Alfonso Rodríguez 0002, Juan Valverde, Eduardo de la Torre |
Design of OpenCL-compatible multithreaded hardware accelerators with dynamic support for embedded FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015, pp. 1-7, 2015, IEEE, 978-1-4673-9406-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
20 | Stefan Gehrer, Sebastien Leger, Georg Sigl |
Aging effects on ring-oscillator-based physical unclonable functions on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015, pp. 1-6, 2015, IEEE, 978-1-4673-9406-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
20 | Vincent Mirian, Paul Chow |
UT-OCL: an OpenCL framework for embedded systems using xilinx FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015, pp. 1-6, 2015, IEEE, 978-1-4673-9406-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
20 | Karim Moussa Ali Abdellatif |
Authenticated Encryption on FPGAs from the Reconfigurable Part to the Static Part. (Chiffrement authentifié sur FPGAs de la partie reconfigurable à la partie static). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2014 |
RDF |
|
20 | Pei Luo, Yunsi Fei, Xin Fang 0001, A. Adam Ding, Miriam Leeser, David R. Kaeli |
Power analysis attack on hardware implementation of MAC-Keccak on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014, pp. 1-7, 2014, IEEE, 978-1-4799-5944-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Sam Skalicky, Sonia López, Marcin Lukowiak, Christopher A. Wood |
Mission control: A performance metric and analysis of control logic for pipelined architectures on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014, pp. 1-6, 2014, IEEE, 978-1-4799-5944-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Rui Policarpo Duarte, Christos-Savvas Bouganis |
Zero-latency datapath error correction framework for over-clocking DSP applications on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014, pp. 1-7, 2014, IEEE, 978-1-4799-5944-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Cristinel Ababei, Rajesh G. Kavasseri, Mohammad A. Zare |
Net reordering and multicommodity flow based global routing for FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014, pp. 1-6, 2014, IEEE, 978-1-4799-5944-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Rico Backasch, Gerald Hempel, Stefan Werner 0002, Sven Groppe, Thilo Pionteck |
Identifying homogenous reconfigurable regions in heterogeneous FPGAs for module relocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014, pp. 1-6, 2014, IEEE, 978-1-4799-5944-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Vignesh Adhinarayanan, Thaddeus Koehn, Krzysztof Kepa, Wu-chun Feng, Peter Athanas |
On the performance and energy efficiency of FPGAs and GPUs for polyphase channelization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014, pp. 1-7, 2014, IEEE, 978-1-4799-5944-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Thomas B. Preußer, Oliver Knodel, Rainer G. Spallek |
PoC-align: An open-source alignment accelerator using FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014, pp. 1-6, 2014, IEEE, 978-1-4799-5944-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Lei Xu 0012, Pham Dang Khoa, Seung-Hun Kim, Won Woo Ro, Weidong Shi |
LUT based secure cloud computing - An implementation using FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014, pp. 1-6, 2014, IEEE, 978-1-4799-5944-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Hongyuan Ding, Miaoqing Huang |
A unified OpenCL-flavor programming model with scalable hybrid hardware platform on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014, pp. 1-7, 2014, IEEE, 978-1-4799-5944-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Bernhard Jungk, Leandro Rodrigues Lima, Matthias Hiller |
A systematic study of lightweight hash functions on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2014 International Conference on ReConFigurable Computing and FPGAs, ReConFig14, Cancun, Mexico, December 8-10, 2014, pp. 1-6, 2014, IEEE, 978-1-4799-5944-0. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
20 | Karim M. Abdellatif, Roselyne Chotin-Avot, Habib Mehrez |
Lightweight and compact solutions for secure reconfiguration of FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013, pp. 1-4, 2013, IEEE, 978-1-4799-2079-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
20 | Matthias Hinkfoth, Ralf Joost, Ralf Salomon |
Improving calibration precision of signal-delay-based time measurement systems in FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013, pp. 1-6, 2013, IEEE, 978-1-4799-2079-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
20 | Kiran Kumar Matam, Viktor K. Prasanna |
Energy-efficient large-scale matrix multiplication on FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013, pp. 1-8, 2013, IEEE, 978-1-4799-2079-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
20 | Ali Ebrahim, Khaled Benkrid, Jalal Khalifat, Chuan Hong |
A platform for secure IP integration in Xilinx Virtex FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: 2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013, pp. 1-6, 2013, IEEE, 978-1-4799-2079-2. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
Displaying result #401 - #500 of 7385 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ >>] |
|