The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for CMOS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1975-1981 (16) 1982-1983 (18) 1984 (16) 1985 (28) 1986 (46) 1987 (30) 1988 (66) 1989 (53) 1990 (81) 1991 (98) 1992 (92) 1993 (125) 1994 (196) 1995 (296) 1996 (234) 1997 (237) 1998 (330) 1999 (525) 2000 (469) 2001 (574) 2002 (615) 2003 (907) 2004 (876) 2005 (1177) 2006 (1421) 2007 (1339) 2008 (1283) 2009 (989) 2010 (859) 2011 (954) 2012 (952) 2013 (894) 2014 (952) 2015 (1005) 2016 (924) 2017 (908) 2018 (1018) 2019 (987) 2020 (767) 2021 (840) 2022 (758) 2023 (754) 2024 (163)
Publication types (Num. hits)
article(8753) book(14) data(4) incollection(34) inproceedings(15928) phdthesis(139)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5098 occurrences of 1721 keywords

Results
Found 24872 publication records. Showing 24872 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Dominique Python, Manfred Punzenberger, Christian C. Enz A 1-V CMOS log-domain integrator. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18O. Kibar, Philippe J. Marchand, Sadik C. Esener High-speed CMOS switch designs for free-space optoelectronic MIN's. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Yehea I. Ismail, Eby G. Friedman, José Luis Neves Power dissipated by CMOS gates driving lossless transmission lines. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Azeez J. Bhavnagarwala, Blanca Austin, James D. Meindl Minimum supply voltage for bulk Si CMOS GSI. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Tsung-Hsien Lin, Henry Sanchez, Razieh Rofougaran, William J. Kaiser CMOS front end components for micropower RF wireless systems. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Emilio Benedetti, Luisa Consolini CMOS - Change Management Of Software ESSI PIE nr. 23661. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Alan W. Righter, Charles F. Hawkins, Jerry M. Soden, Peter C. Maxwell CMOS IC reliability indicators and burn-in economics. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Hiok-Tiaq Ng, David J. Allstot CMOS current steering logic for low-voltage mixed-signal integrated circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Ming-Dou Ker, Chung-Yu Wu, Tao Cheng, Hun-Hsien Chang Capacitor-couple ESD protection circuit for deep-submicron low-voltage CMOS ASIC. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Manjit Borah, Robert Michael Owens, Mary Jane Irwin Transistor sizing for low power CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Bradley S. Carlson, C. Y. Roger Chen, Dikran S. Meliksetian Transistor Chaining in CMOS Leaf Cells of Planar Topology. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Shih-Lien Lu Implementation of micropipelines in enable/disable CMOS differential logic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Debabrata Ghosh, S. K. Nandy 0001 Design and realization of high-performance wave-pipelined 8×8 b multiplier in CMOS technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Bradley S. Carlson, Suh-Juch Lee Delay optimization of digital CMOS VLSI circuits by transistor reordering. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Kuen-Jong Lee, Charles Njinda, Melvin A. Breuer SWiTEST: a switch level test generation system for CMOS combinational circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Abdolreza Nabavi-Lishi, Nicholas C. Rumin Inverter models of CMOS gates for supply current and delay evaluation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18S. Wayne Bollinger, Scott F. Midkiff Test generation for IDDQ testing of bridging faults in CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Marcel Jacomet, Walter Guggenbühl Layout-dependent fault analysis and test synthesis for CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Francisco J. Pelayo, Begoña Pino, Alberto Prieto, Julio Ortega 0001, F. J. Fernández CMOS Implementation of Synapse Matrices with Programmable Analog Weights. Search on Bibsonomy IWANN The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Chung-Yu Wu, Ming-Chuen Shiau Efficient physical timing models for CMOS AND-OR-inverter and OR-AND-inverter gates and their applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
18Gopal Gupta 0001, Niraj K. Jha A universal test set for CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
18Ching-Farn Eric Wu, Anthony S. Wojcik, Lionel M. Ni A Rule-Based Circuit Representation for Automated CMOS Design and Verification. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
17Samar K. Saha Modeling Process Variability in Scaled CMOS Technology. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF compact variability modeling, gate-oxide thickness variability, high-k dielectric, line-edge roughness, polysilicon granularity, random discrete dopants, scaled CMOS technology, statistical compact modeling, design and test, process variability, metal gate
17Yuan Cao 0003, Fang Tang, Amine Bermak, Thinh M. Le A Smart CMOS Image Sensor with On-chip Hot Pixel Correcting Readout Circuit for Biomedical Applications. Search on Bibsonomy DELTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hot pixel correction, biomedical applications, CMOS image sensor
17Fang Tang, Amine Bermak Read-out Circuit Analysis for High-speed Low-noise VCO Based APS CMOS Image Sensor. Search on Bibsonomy DELTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF APS imager, high speed, CMOS image sensor, low noise
17Kalyan Bhattacharyya 23.97GHz CMOS Distributed Voltage Controlled Oscillators with Inverter Gain Cells and Frequency Tuning by Body Bias and MOS Varactors Concurrently. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Distributed oscillator, CMOS RF IC, Monolithic Microwave Integrated Circuits, VCO
17Garima Thakral, Saraju P. Mohanty, Dhruva Ghai, Dhiraj K. Pradhan A Combined DOE-ILP Based Power and Read Stability Optimization in Nano-CMOS SRAM. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Nanoscale CMOS, SRAM, Power Dissipation, Static Noise Margin
17Ramen Dutta, Tarun Kanti Bhattacharyya, Xiang Gao 0002, Eric A. M. Klumperink Optimized Stage Ratio of Tapered CMOS Inverters for Minimum Power and Mismatch Jitter Product. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF tapering factor, stage ratio, CMOS inverter, mismatch jitter, multiphase clock, low power, figure of merit
17Calvin Plett, Robson Nunes de Lima Low-power CMOS transceivers with on-chip antennas for short-range radio-frequency communication. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS transceiver, injection locked, integrated antenna, medical sensor readout, PLL
17Fady Abouzeid, Sylvain Clerc, Fabian Firmin, Marc Renaudin, Gilles Sicard A 45nm CMOS 0.35v-optimized standard cell library for ultra-low power applications. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bose choudhury hocquenghem, design, low power, methodology, logic, energy, cmos, library, circuit, subthreshold, ultra low voltage
17Roger Y. Chen, Ming-Jen Chang A CMOS Variable-gain Fully-differential Transimpedance Amplifier for Multimedia Data Links. Search on Bibsonomy IIH-MSP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS optical preamplifiers, current amplifiers, infrared wireless optical receivers, transimpedance amplifiers, TIA
17Yangyuan Wang, Xing Zhang 0002, Xiaoyan Liu, Ru Huang Novel devices and process for 32 nm CMOS technology and beyond. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF high-k, non-planar MOSFET, quasi-ballistic transport, CMOS technology, metal gate
17Monica Figueiredo, Rui L. Aguiar A Study on CMOS Time Uncertainty with Technology Scaling. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Uncertainty, Noise, CMOS, Scaling, Jitter
17Hideo Kohinata, Masayuki Arai, Satoshi Fukumoto An Experimental Study on Latch Up Failure of CMOS LSI. Search on Bibsonomy SSIRI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF latch up, CMOS LSI
17Laurent Gatet, Hélène Tap-Béteille, Daniel Roviras, Francis Gizard Integrated CMOS Analog Neural Network Ability to Linearize the Distorted Characteristic of HPA Embedded in Satellites. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMOS Analog Integrated Circuits, Nonlinear Distortion, Predistorsion, Multi-Layer Perceptrons, Neural Network Architecture
17Satoshi Fukuda, D. Kawazoe, Kenichi Okada, Kazuya Masu Reconfigurable CMOS Low Noise Amplifier Using Variable Bias Circuit for Self Compensation. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF intermodulation compensation, reconfigurable CMOS low noise amplifier, variable bias circuit, self compensation, power reduction
17Takeshi Kuboki, Akira Tsuchiya, Hidetoshi Onodera A 10Gbps/channel On-Chip Signaling Circuit with an Impedance-Unmatched CML Driver in 90nm CMOS Technology. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF on-chip signaling circuit, impedance-unmatched CML driver, differential transmission-line, CML receiver, CML buffer, load resistance tuning, 10 Gbit/s, CMOS technology, power reduction, 90 nm
17Cary Gunn CMOS Photonics for High-Speed Interconnects. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CMOS photonics, high-speed optical communications, Luxtera, 10-Gbps
17Michael I. Fuller, James P. Mabry, John A. Hossack, Travis N. Blalock 40 MHz 0.25 um CMOS embedded 1T bit-line decoupled DRAM FIFO for mixed-signal applications. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF CMOS, DRAM, ultrasound, FIFO, embedded memory
17Suchitav Khadanga Synchronous programmable divider design for PLL Using 0.18 um cmos technology. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF programmable divider, CMOS integrated circuits, phase locked loop, PLL, Prescaler, frequency synthesizers
17Yukiya Miura, Shuichi Seno Behavior Analysis of Internal Feedback Bridging Faults in CMOS Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fault behavior, feedback bridging faults, IDDQ testing, CMOS circuits, fault analysis
17Takashi Inukai, Toshiro Hiramoto, Takayasu Sakurai Variable threshold CMOS (VTCMOS) in series connected circuits. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF body effect factor, degradation factor, series connected circuits, substrate bias, variable threshold voltage CMOS, velocity saturation
17Tirdad Sowlati, Vickram Vathulya, Domine Leenaerts High density capacitance structures in submicron CMOS for low power RF application. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF HiPerLAN, RF passives, interconnect, Bluetooth, CMOS
17Song Ye, Koji Yano, C. André T. Salama 1 V, 1.9 GHz mixer using a lateral bipolar transistor in CMOS. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF lateral bipolar transistor, low power, CMOS, RF, mixer
17Kwang-Bo Cho, Alexander Krymski, Eric R. Fossum A 3-pin 1.5 V 550 mW 176 x 144 self-clocked CMOS active pixel image sensor. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF self-clocked, low-power, CMOS, image sensor, low-voltage, active pixel sensor
17Jian Liu, Rafic Z. Makki, Ayman I. Kayssi Dynamic Power Supply Current Testing of CMOS SRAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF transient power supply current (i DDT), transient current sensor, disturb fault, CMOS SRAM
17Rosa Rodríguez-Montañés, Joan Figueras Estimation of the Defective IDDQ Caused by Shorts in Deep-Submicron CMOS ICs. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF IDDQ testability, CMOS, deep-submicron
17Christer Svensson, Atila Alvandpour Low power and low voltage CMOS digital circuit techniques. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, CMOS, digital circuits, low voltage
16Tien-Yu Lo, Chung-Chih Hung 1-V Linear CMOS Transconductor with 65 dB THD in Nano-Scale CMOS Technology. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Xiaochen Guo, Engin Ipek, Tolga Soyata Resistive computation: avoiding the power wall with low-leakage, STT-MRAM based computing. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF STT-MRAM, power-efficiency
15Sansiri Tanachutiwat, Ji Ung Lee, Wei Wang 0003, Chun Yung Sung Reconfigurable multi-function logic based on graphene P-N junctions. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF graphene, p-n junction, logic gate, device, reconfigurable logic
15Jason Cong, Mau-Chung Frank Chang, Glenn Reinman, Sai-Wang Tam Multiband RF-interconnect for reconfigurable network-on-chip communications. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fdma, morfic, rf-interconnect, network-on-chip, reconfigurable, chip multiprocessor
15Debasish Das, William Scott, Shahin Nazarian, Hai Zhou 0001 An efficient current-based logic cell model for crosstalk delay analysis. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Fabio Frustaci, Pasquale Corsonello, Stefania Perri, Giuseppe Cocorullo A New Dynamic Logic Circuit Design for an Effective Trade-Off between Noise-Immunity, Performance and Energy Dissipation. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Mandeep Singh, Christophe Giacomotto, Bart R. Zeydel, Vojin G. Oklobdzija Logic Style Comparison for Ultra Low Power Operation in 65nm Technology. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Kris Tiri, Ingrid Verbauwhede A digital design flow for secure integrated circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Feng Wang 0004, Yuan Xie 0001, Kerry Bernstein, Yan Luo Dependability Analysis of Nano-scale FinFET circuits. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Jeffrey Bokor Prospects for emerging nanoelectronics in mainstream information processing systems. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanoelectronics
15Kornika Moolpho, Jitkasame Ngarmnil Low Voltage High-Performance Class-AB FGMOS Buffer. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Junyoung Park, Sung Je Hong, Jong Kim 0001 Energy-saving design technique achieved by latched pass-transistor adiabatic logic. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Shouli Yan, Jingyu Hu, Tongyu Song, Edgar Sánchez-Sinencio A constant-gm rail-to-rail op amp input stage using dynamic current scaling technique. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Kundan Nepal, R. Iris Bahar, Joseph L. Mundy, William R. Patterson, Alexander Zaslavsky Designing logic circuits for probabilistic computation in the presence of noise. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nanodevices, reliability, Markov random fields, emerging technologies, noise immunity, probabilistic computing, subthreshold operation
15T. J. Thorp, G. S. Yee, Carl M. Sechen Design and synthesis of dynamic circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15O. Milter, Avinoam Kolodny Crosstalk noise reduction in synthesized digital logic circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Sumeer Goel, Tarek Darwish, Magdy A. Bayoumi A Novel Technique for Noise-Tolerance in Dynamic Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Jan M. Rabaey, Dennis Sylvester, David T. Blaauw, Kerry Bernstein, Jerry Frenkil, Mark Horowitz, Wolfgang Nebel, Takayasu Sakurai, Andrew Yang Reshaping EDA for power. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Unni Narayanan, Ki-Seok Chung, Taewhan Kim Enhanced bus invert encodings for low-power. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Geun Rae Cho, Tom Chen 0001 Mixed PTL/Static Logic Synthesis Using Genetic Algorithms for Low-Power Applications. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Mixed PTL/Static, Lower-Power Technology Mapping, Logic Synthesis, Pass Transistor Logic
15Hendrawan Soeleman, Kaushik Roy 0001, Bipul Chandra Paul Robust subthreshold logic for ultra-low power operation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Takahiro Saito, Takashi Komatsu A high-resolution image acquisition method with defect-pixel recovery for solid-state image sensors. Search on Bibsonomy ICIP (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Cong-Kha Pham A novel synapses circuit and its application to a neural-based A/D converter. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Chulwoo Kim, Ki-Wook Kim, Sung-Mo Kang Energy-efficient skewed static logic design with dual Vt. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Kuo-Hsing Cheng, Wei-Bin Yang, Chun-Fu Chung A low-power high driving ability voltage control oscillator used in PLL. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Wen-Tsong Shiue Leakage power estimation and minimization in VLSI circuits. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Sheng Sun, Larry McMurchie, Carl Sechen A High-Performance 64-bit Adder Implemented in Output Prediction Logic. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Gin Yee, Carl Sechen Clock-delayed domino for dynamic circuit design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Ivo Bolsens, Wojtek Maly, Ludo Deferm, Jo Borel, Harry J. M. Veendrick Single Chip or Hybrid System Integration. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Mostafa I. H. Abd-El-Barr, Maher Al-Sherif, Mohamed Osman Fault Characterization and Testability Considerations in Multi-Valued Logic Circuits. Search on Bibsonomy ISMVL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Tyler Thorp, Gin Yee, Carl Sechen Design and Synthesis of Monotonic Circuits. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Farid N. Najm, Ibrahim N. Hajj The complexity of fault detection in MOS VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
15Veronika Eisele, Bernhard Hoppe, Oliver Kiehl Transmission gate delay models for circuit optimization. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
14Kevin J. Nowka Technology variability and uncertainty implications for power- efficient VLSI systems. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF tools, technology, cmos, circuits
14Melvin A. Breuer Hardware that produces bounded rather than exact results. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF computational fabrics, CMOS, error-rate, performance degradation, error-tolerance
14Cédric Majek, Yann Deval, Hervé Lapuyade, Jean-Baptiste Bégueret Voltage controlled delay line with phase quadrature outputs for [0.9-4] GHz F-DLL dedicated to zero-IF multi-standard LO. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS-SOI, factorial delay locked loop, multi-standard frequency synthesizer, quadrature phase signals, voltage controlled delay element
14Juan José Carrillo, Elkim Roa, José Vieira, Wilhelmus A. M. Van Noije A low-voltage bandgap reference source based on the current-mode technique. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS voltage reference, temperature coefficient, analog circuits, low voltage
14Luciano A. de Lacerda, Edson P. Santana, Cleber Vinícius A. de Almeida, Ana Isabela Araújo Cunha Distortion analysis of analog multiplier circuits using two-dimensional integral nonlinear function. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS multipliers, distortion, analog multipliers
14Hiroki Sato, Sho Ogura, Tadaaki Hosaka, Takayuki Hamamoto, Akira Kubota, Ryutaro Oi, Kazuya Kodama Arbitrary viewpoint image synthesis for real-time processing system using multiple image sensors. Search on Bibsonomy VRCAI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF arbitrary viewpoint image, object shape estimation, real-time synthesis, FPGA, CMOS image sensor
14David Bol, Denis Flandre, Jean-Didier Legat Technology flavor selection and adaptive techniques for timing-constrained 45nm subthreshold circuits. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptive circuits, subthreshold logic, variability, CMOS digital integrated circuits, ultra-low power
14Hua Wang, Francky Catthoor, Miguel Miranda, Wim Dehaene Synthesis of Runtime Switchable Pareto Buffers Offering Full Range Fine Grained Energy/Delay Trade-Offs. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMOS buffer, Low power design, Trade-offs
14Sachin S. Sapatnekar Building your yield of dreams. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF nanoscale, modeling variations, CMOS, yield, design for manufacturability, DFM
14Andrea Pugliese 0002, Francesco A. Amoroso, Gregorio Cappuccino, Giuseppe Cocorullo Settling-Optimization-Based Design Approach for Three-Stage Nested-Miller Amplifiers. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMOS analog integrated circuits, frequency compensation, operational amplifiers, transient response
14Hugo de Lemos Haas, José Gabriel Rodríguez Carneiro Gomes, Antonio Petraglia Analog hardware implementation of a vector quantizer for focal-plane image compression. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMOS analog hardware, analog image processing, vector quantization
14Roman Ostroumov, Kang L. Wang On Power Dissipation in Information Processing. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF nanoscale architectures, CMOS, power dissipation
14Lech Józwiak, Artur Chojnacki, Aleksander Slusarczyk High-Quality Circuit Synthesis for Modern Technologies. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF nano CMOS technologies, high-speed and low-power circuits, information-driven synthesis, multi-objective optimization, circuit synthesis
14Shin-Yi Lin, Chih-Tsun Huang A High-Throughput Low-Power AES Cipher for Network Applications. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.13 micron, low-power AES cipher, two-stage pipeline, CCM mode, design-for-test circuitry, 4.27 Gbits/s, 333 MHz, 40.9 mW, CMOS technology, network applications
14Ivan Chee Hong Lai, Minoru Fujishima Psuedo-Millimeter-Wave Up-Conversion Mixer with On-Chip Balun for Vehicular Radar Systems. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 1.2 V, pseudomillimeter-wave up-conversion mixer, vehicular radar systems, broadband up-conversion mixer, on-chip Marchand baluns, reduced substrate losses, integrated mixer, 20 to 26 GHz, 2 dB, 11.1 mW, CMOS technology, capacitive coupling, 90 nm
14Noriyuki Miura, Tadahiro Kuroda A 1Tb/s 3W Inductive-Coupling Transceiver Chip. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 150 ps, inductive-coupling transceiver chip, data transceivers, clock transceivers, biphase modulation, improved noise immunity, 1 Gbit/s, 1 Tbit/s, 1 GHz, 3 W, CMOS, BER, time division multiplexing
14Shoun Matsunaga, Takahiro Hanyu, Hiromitsu Kimura, Takashi Nakamura, Hidemi Takasu Implementation of a Standby-Power-Free CAM Based on Complementary Ferroelectric-Capacitor Logic. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.35 micron, standby-power-free CAM, complementary ferroelectric-capacitor logic, CFC logic circuit, nonvolatile storage, ferroelectric CMOS, content-addressable memory
14Dalton M. Colombo, Gilson I. Wirth, Sergio Bampi Trim range limited by noise in bandgap voltage references. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bandgap, trim circuit, noise, CMOS, voltage reference
14Hermann Eul Complexity challenges towards 4th generation communication solutions. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 4G, RF CMOS
Displaying result #501 - #600 of 24872 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license