The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for layout with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1971 (20) 1972-1975 (16) 1976-1977 (20) 1978-1979 (20) 1980-1981 (32) 1982 (25) 1983 (27) 1984 (37) 1985 (74) 1986 (48) 1987 (62) 1988 (82) 1989 (98) 1990 (141) 1991 (93) 1992 (87) 1993 (126) 1994 (92) 1995 (181) 1996 (154) 1997 (169) 1998 (201) 1999 (275) 2000 (248) 2001 (303) 2002 (386) 2003 (400) 2004 (490) 2005 (540) 2006 (550) 2007 (631) 2008 (574) 2009 (424) 2010 (259) 2011 (227) 2012 (227) 2013 (252) 2014 (253) 2015 (259) 2016 (265) 2017 (290) 2018 (315) 2019 (331) 2020 (351) 2021 (407) 2022 (390) 2023 (514) 2024 (110)
Publication types (Num. hits)
article(3614) book(9) data(3) incollection(68) inproceedings(7258) phdthesis(123) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(514) DAC(463) IEEE Trans. Comput. Aided Des....(412) ICCAD(186) ICDAR(166) GD(139) ASP-DAC(135) VLSI Design(115) IEEE Trans. Very Large Scale I...(113) ISPD(112) ISQED(112) ISCAS(109) DATE(102) IEEE Trans. Vis. Comput. Graph...(89) WSC(74) IEEE Trans. Computers(73) More (+10 of total 2371)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6705 occurrences of 3042 keywords

Results
Found 11076 publication records. Showing 11076 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Xuan Zeng 0001, J. Guan, Wenqing Zhao, Pushan Tang, Dian Zhou A constraint-based placement refinement method for CMOS analog cell layout. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Ophir Holder, Israel Ben-Shaul, Hovav Gazit System Support for Dynamic Layout of Distributed Applications. Search on Bibsonomy ICDCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Distributed Programming Models, Engineering Distributed Systems, Java, Mobile Objects, Distributed Components
22Mahmut T. Kandemir, Alok N. Choudhary, J. Ramanujam, Prithviraj Banerjee A Framework for Interprocedural Locality Optimization Using Both Loop and Data Layout Transformations. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Juan A. Prieto, Adoración Rueda, Ian Andrew Grout, Eduardo J. Peralías, José L. Huertas, Andrew Mark David Richardson An Approach to Realistic Fault Prediction and Layout Design for Testability in Analog Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Ulrik Brandes, Dorothea Wagner Using Graph Layout to Visualize Train Interconnection Data. Search on Bibsonomy GD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Ugur Dogrusöz, Konstantinos G. Kakoulis, Brendan Madden, Ioannis G. Tollis Edge Labeling in the Graph Layout Toolkit. Search on Bibsonomy GD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Jaewon Kim, Sung-Mo Kang A timing-driven data path layout synthesis with integer programming. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF routing, integer programming, timing-driven placement, data path, bit-slice
22Jack A. Feldman, Israel A. Wagner, Shmuel Wimer An efficient algorithm for some multirow layout problems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
22Uminder Singh, C. Y. Roger Chen From logic to symbolic layout for gate matrix. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
22Gerard A. Allan, Anthony J. Walton, Robert J. Holwill A yield improvement technique for IC layout using local design rules. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
22João Paulo Teixeira 0001, Isabel C. Teixeira, Carlos F. Beltrán Almeida, Fernando M. Gonçalves, Júlio Gonçalves A methodology for testability enhancement at layout level. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF physical design rules for testability, simulation, fault modeling, testability analysis
22Yu Hen Hu, Sao-Jie Chen GM Plan: a gate matrix layout algorithm based on artificial intelligence planning techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Yung-Ching Hsieh, Chi-Yi Hwang, Youn-Long Lin, Yu-Chin Hsu LiB: A Cell Layout Generator. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Charles J. Poirier Excellerator: custom CMOS leaf cell layout generator. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
22Hyunchul Shin, Chi-Yuan Lo An Efficient Two-Dimensional Layout Compaction Algorithm. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
22G. D. Adams, Carlo H. Séquin Template Style Considerations for Sea-of-Gates Layout Generation. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
22Wayne H. Wolf, Robert G. Mathews, John A. Newkirk, Robert W. Dutton Algorithms for optimizing, two-dimensional symbolic layout compaction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
22Wei Shu, Min-You Wu, S. M. Kang Improved net merging method for gate matrix layout. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
22Youn-Long Lin, Daniel D. Gajski LES: a layout expert system. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
22Yasushi Ogawa, Hidekazu Terai, Tokinori Kozawa Automatic Layout Procedures for Serial Routing Devices. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
22Xinghao Chen 0003, Michael L. Bushnell A Module Area Estimator for VLSI Layout. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
22David G. Boyer Symbolic Layout Compaction Review. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
22Wayne Wei-Ming Dai, Masao Sato, Ernest S. Kuh A Dynamic and Efficient Representation of Building-Block Layout. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22R. L. Maiasz, John P. Hayes Layout Optimization of CMOS Functional Cells. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Wen-Jeng Lue, Lawrence P. McNamee PLAY: Pattern-Based Symbolic Cell Layout: Part I: Transistor Placement. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
22Jose M. Mata Design and Implementation for a Procedural VLSI Layout. Search on Bibsonomy FSTTCS The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
22C. P. Hsu, B. N. Tien, K. Chow, R. A. Perry, J. Tang ALPS2: a standard cell layout system for double-layer metal technology. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
22Kung-Chao Chu, Y. Edmund Lien Technology tracking for VLSI layout design tools. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
22W. A. Dees, K. M. Parmar, A. Goyal, Raymond Y. Tsui, B. D. Rathi, Robert J. Smith 0001 A computer-aided VLSI layout system. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
21Andreas Girgensohn, Frank M. Shipman III, Thea Turner, Lynn Wilcox Flexible access to photo libraries via time, place, tags, and visual features. Search on Bibsonomy JCDL The full citation details ... 2010 DBLP  DOI  BibTeX  RDF photo libraries, similarity criteria, tagged photos, visual similarity, geographic data, photo retrieval
21Hector Ouilhet Google Sky Map: using your phone as an interface. Search on Bibsonomy Mobile HCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Yen-Hung Lin, Yih-Lang Li Double patterning lithography aware gridless detailed routing with innovative conflict graph. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF double patterning, gridless model, detailed routing
21Yaniv Frishman, Ayellet Tal Uncluttering Graph Layouts Using Anisotropic Diffusion and Mass Transport. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Tim Dwyer, Bongshin Lee, Danyel Fisher, Kori Inkpen Quinn, Petra Isenberg, George G. Robertson, Chris North 0001 A Comparison of User-Generated and Automatic Graph Layouts. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Behzad Sajadi, Yan Huang 0003, Pablo Diaz-Gutierrez, Sung-Eui Yoon, M. Gopi 0001 A novel page-based data structure for interactive walkthroughs. Search on Bibsonomy SI3D The full citation details ... 2009 DBLP  DOI  BibTeX  RDF walkthrough systems, spatial data structures, out-of-core algorithms, data layouts
21Mukul S. Bansal, Wen-Chieh Chang 0002, Oliver Eulenstein, David Fernández-Baca Generalized Binary Tanglegrams: Algorithms and Applications. Search on Bibsonomy BICoB The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Jo Wood, Jason Dykes Spatially Ordered Treemaps. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Tatsuhiko Kagehiro, Hiromichi Fujisawa Multiple Hypotheses Document Analysis. Search on Bibsonomy Machine Learning in Document Analysis and Recognition The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Marius Nita, Dan Grossman, Craig Chambers A theory of platform-dependent low-level software. Search on Bibsonomy POPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low-level software, portability, type safety, casts
21Andrew B. Kahng How to get real mad. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design-aware manufacturing, integrated circuit physical design, manufacturing-aware design, performance analysis, design for manufacturability
21Charles C. Chiang, Andrew B. Kahng, Subarna Sinha, Xu Xu 0001, Alexander Zelikovsky Fast and Efficient Bright-Field AAPSM Conflict Detection and Correction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Abdoul Rjoub, M. Musameh, Odysseas G. Koufopavlou An optimal low-power/high performance DDP-based Cobra-H64 cipher. Search on Bibsonomy MobiMedia The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cobra-H64, security, cryptography, encryption, decryption
21Mohit Pathak, Souvik Mukherjee, Madhavan Swaminathan, Ege Engin, Sung Kyu Lim Placement and routing of RF embedded passive designs in LCP substrate. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Yokesh Kumar, Prosenjit Gupta Reducing EPL Alignment Errors for Large VLSI Layouts. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Brian Taylor, Larry T. Pileggi Exact Combinatorial Optimization Methods for Physical Design of Regular Logic Bricks. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Joost van Beusekom, Daniel Keysers, Faisal Shafait, Thomas M. Breuel Example-Based Logical Labeling of Document Title Page Images. Search on Bibsonomy ICDAR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Sumit Mehra, Peter J. Werkhoven, Marcel Worring Navigating on handheld displays: Dynamic versus static peephole navigation. Search on Bibsonomy ACM Trans. Comput. Hum. Interact. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF handheld displays, Human-computer interaction, navigation, visual perception
21Timo Götzelmann, Knut Hartmann, Thomas Strothotte Agent-Based Annotation of Interactive 3D Visualizations. Search on Bibsonomy Smart Graphics The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Tae-Jung Lho, Dong Joong Kang, Am-suk Oh, Jang-Woo Kwon, Suk-Tae Bae, Kang-Hyuk Lee An Implementation of the Vectorizing-Based Automatic Nesting Software NST . Search on Bibsonomy ICCSA (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero Software Trace Cache. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF compiler optimizations, branch prediction, Pipeline processors, trace cache, instruction fetch
21Guido Reina, Sven Lange-Last, Klaus D. Engel, Thomas Ertl Guided Navigation in Task-Oriented 3D Graph Visualizations. Search on Bibsonomy TPCG The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Yu Chen 0005, Puneet Gupta 0001, Andrew B. Kahng Performance-impact limited area fill synthesis. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VLSI manufacturability, coupling capacitance extraction, dummy fill problem, signal delay, linear programming, greedy method
21Duane S. Boning, Joseph Panganiban, Karen Gonzalez-Valentin, Sani R. Nassif, Chandler McDowell, Anne E. Gattiker, Frank Liu 0001 Test structures for delay variability. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Martin R. Frerichs Precise extraction of ultra deep submicron interconnect parasitics with parameterizable 3D-modeling: invited talk. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Sandro Bartolini, Cosimo Antonio Prete An Object Level Transformation Technique to Improve the Performance of Embedded Applications. Search on Bibsonomy SCAM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF procedure reordering, optimization, cache, program transformation, conflict misses
21Thorsten Adler, Erich Barke Single Step Current Driven Routing of Multiterminal Signal Nets for Analog Applications. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Panagiotis K. Linos, Esther T. Ososanya, Vijay Karunakaran Improving the Visibility of Graphical Program Displays: An Experimental Study. Search on Bibsonomy IWPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Lars W. Hagen, Andrew B. Kahng, Fadi J. Kurdahi, Champaka Ramachandran On the intrinsic Rent parameter and spectra-based partitioning methodologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Hans-Rudolf Heeb, Wolfgang Fichtner A module generator based on the PQ-tree algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
21Pei-Yung Hsiao, Wu-Shiung Feng Using a multiple storage quad tree on a hierarchical VLSI compaction scheme. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Bernd Becker 0001, Hans-Georg Osthof Layouts with Wires of Balanced Length. Search on Bibsonomy STACS The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
21Fillia Makedon, Christos H. Papadimitriou, Ivan Hal Sudborough Topological Bandwidth. Search on Bibsonomy CAAP The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
20Chaomin Luo, Miguel F. Anjos, Anthony Vannelli A nonlinear optimization methodology for VLSI fixed-outline floorplanning. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Circuit layout design, VLSI floorplanning, Facility layout, Combinatorial optimization, Global optimization, Convex programming
20Clayton Brian Atkins Blocked recursive image composition. Search on Bibsonomy ACM Multimedia The full citation details ... 2008 DBLP  DOI  BibTeX  RDF album, photo layout, composition, collage, automatic layout
20Pat Washburn Extreme makeover: lab edition. Search on Bibsonomy SIGUCCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF lab design, lab layout, design, projection, layout, lab
20Massoud Pedram Power minimization in IC design: principles and applications. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF adiabatic circuits, dynamic power dissipation, low power layout, low power synthesis, lower-power design, power analysis and estimation, power minimization and management, silicon-on-insulator technology, switched capacitance, synthesis, system design, power management, layout, probabilistic analysis, symbolic simulation, CMOS circuits, switching activity, statistical sampling, computer-aided design of VLSI, gated clocks, energy-delay product
20John A. Chandy, Prithviraj Banerjee Parallel simulated annealing strategies for VLSI cell placement. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel simulated annealing strategies, VLSI cell placement, cell placement annealing, multiple Markov chains, parallel moves approach, parallel algorithms, VLSI, simulated annealing, Markov processes, VLSI design, circuit layout CAD, integrated circuit layout, speculative computation, standard cell placement
20Sudip K. Nag, Rob A. Rutenbar Performance-driven simultaneous place and route for island-style FPGAs. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Xilinx 4000-series FPGAs, island-style FPGAs, performance-driven simultaneous placement/routing, place and route tools, FPGAs, field programmable gate arrays, logic CAD, network routing, circuit layout CAD, industrial designs, circuit layout
20Vinod Narayananan, David LaPotin, Rajesh Gupta 0003, Gopalakrishnan Vijayan PEPPER - a timing driven early floorplanner. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PEPPER, timing driven early floorplanner, chip complexities, early analysis, performance critical CMOS chips, wireability, floorplan optimization process, performance, computational complexity, optimisation, timing, system design, circuit layout CAD, CMOS integrated circuits, static timing analysis, integrated circuit layout, area, interconnect delay
20Anthony D. Johnson On locally optimal breaking of nondisjoint cyclic vertical constraints in VLSI channel routing. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF locally optimal breaking strategy, nondisjoint cyclic vertical constraints, VLSI channel routing, vertical constraint graph, nondisjoint circuits, common vertex, common path, channel router heuristics, automatic routers, interactive routers, VLSI, graph theory, parallel architectures, network routing, circuit layout CAD, integrated circuit layout
20Habib Youssef, Sadiq M. Sait, Khaled Nassar, Muhammad S. T. Benten Performance driven standard-cell placement using the genetic algorithm. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF connection length, timing-driven placer, /spl alpha/-criticality, delay performance improvement, genetic algorithms, genetic algorithm, delays, timing, logic CAD, circuit layout CAD, cellular arrays, integrated circuit layout, critical paths, area, propagation delays, wire length, timing performance, IC design, standard-cell placement
20Ines Peters, Paul Molitor Priority driven channel pin assignment. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF polynomial time improvement, linear channel pin assignment, LCPA algorithms, minimum channel density, vertical constraints, priority driven channel pin assignment, channel height, computational complexity, VLSI, VLSI, network routing, circuit layout CAD, running time, integrated circuit layout, priority schedule, channel routing
20S. C. Prasad, Kaushik Roy 0001 Circuit optimization for minimisation of power consumption under delay constraint. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF power consumption minimisation, internal capacitances, series-connected transistors, multipass algorithm, transistor reordering, VLSI, delays, logic design, logic CAD, circuit layout CAD, CMOS logic circuits, minimisation, circuit optimisation, integrated circuit layout, VLSI circuits, logic gates, capacitance, circuit optimization, delay constraint, CMOS gates
20Srinivasa R. Danda, Sreekrishna Madhwapathy, Naveed A. Sherwani Optimal algorithms for planar over-the-cell routing in the presence of obstacles. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF planar over-the-cell routing, arbitrary shaped obstacles, two layer standard cell design methodology, ALGO-PROBES algorithm, VLSI, network routing, optimal algorithms, circuit layout CAD, circuit optimisation, integrated circuit layout
20Chunduri Rama Mohan, Partha Pratim Chakrabarti Combined optimization of area and testability during state assignment of PLA-based FSM's. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF combined optimization, testability optimisation, PLA-based FSM, EARTH algorithm, single cross-point faults, redundancy checker, fault diagnosis, logic testing, redundancy, finite state machines, integrated circuit testing, design for testability, fault model, logic CAD, programmable logic arrays, circuit layout CAD, circuit optimisation, integrated circuit layout, state assignment, state assignment, minimisation of switching nets, single stuck-at faults, area minimization
20Manjit Borah, Mary Jane Irwin, Robert Michael Owens Minimizing power consumption of static CMOS circuits by transistor sizing and input reordering. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF power consumption minimisation, static CMOS circuits, input reordering, high fan-out gates, power constrained module generator, PowerSizer, logic CAD, circuit layout CAD, CMOS logic circuits, logic circuits, minimisation, arithmetic circuits, circuit optimisation, integrated circuit layout, transistor sizing
20Cristiana Bolchini, Giacomo Buonanno, Donatella Sciuto, Renato Stefanelli A new switching-level approach to multiple-output functions synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF switching-level, multiple-output functions synthesis, transistor level, randomly generated functions, logic CAD, timing constraints, circuit layout CAD, CMOS logic circuits, multivalued logic circuits, integrated circuit layout, minimisation of switching nets, area minimization, figures of merit
20Keumog Ahn, Sartaj Sahni NP-Hard Module Rotation Problems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF NP-hard module rotation problems, circuit modules, performance, computational complexity, circuit layout CAD, circuit layout CAD, routability
20Shantanu Dutt, John P. Hayes Some Practical Issues in the Design of Fault-Tolerant Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF node-covering design, covering graphs, VLSI layout area minimization, distributed reconfiguration, software recovery, local spares, parallel algorithms, computational complexity, VLSI, graph theory, fault tolerant computing, multiprocessing systems, circuit layout CAD, incremental design, state information, fault-tolerant multiprocessors
20Chung-Kuan Cheng, So-Zen Yao, T. C. Hu The Orientation of Modules Based on Graph Decomposition. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF layout stage, total net length, printed circuits, computational complexity, VLSI, VLSI, graph theory, NP-complete, modules, orientation, circuit layout CAD, routability, minimum cut, graph decomposition, printed circuit board, graph problem
20Sumio Masuda, Kazuo Nakajima, Toshinobu Kashiwabara, Toshio Fujisawa Crossing Minimization in Linear Embeddings of Graphs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF crossing minimisation, linear embeddings of graphs, circuit layout problems, computational complexity, graph theory, NP-hard, circuit layout CAD, minimisation
20Binay Sugla, David A. Carlson Extreme Area-Time Tradeoffs in VLSI. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF bounded fan-in, fan-out prefix computation graphs, area requirements, constant factor reduction, area-time tradeoff, VLSI, lower bounds, digital arithmetic, layout, circuit layout CAD, carry look-ahead adder
20Hee Yong Youn, Adit D. Singh On Implementing Large Binary Tree Architectures in VLSI and WSI. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF large binary tree architectures, maximum edge length, H-tree layouts, VLSI, VLSI, layout, trees (mathematics), circuit layout CAD, processing elements, propagation delay, fault-tolerant designs, WSI, two-dimensional array
20Ruth Kuchem, Dorothea Wagner, Frank Wagner 0001 Area-Optimal Three-Layer Channel Routing Search on Bibsonomy FOCS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF area optimal routing algorithm, three-layer channel routing, knock-knee mode, three conducting layers, three-layer wirable layout, time complexity, vias, layer assignment, layout algorithms
19Pengju Shang, Jun Wang 0001, Huijun Zhu, Peng Gu A New Placement-Ideal Layout for Multiway Replication Storage System. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Multiway replication, parallel I/O, data layout
19Radha Jagadeesan, Corin Pitcher, Julian Rathke, James Riely Local Memory via Layout Randomization. Search on Bibsonomy CSF The full citation details ... 2011 DBLP  DOI  BibTeX  RDF memory layout randomization, deterministic allocation, probability, control, full abstraction, higher-order
19Xi-Wei Lin, Victor Moroz Layout Proximity Effects and Modeling Alternatives for IC Designs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF mobility, CMOS, layout, variability, extraction, proximity, design and test, stress, lithography, threshold voltage, compact model
19Liankui Qiu, Panlong He, Lei Luo The Strategy of Advancing Mobile Web Application's Layout and Drawing. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Mobile web application, layout and drawing, refresh interface, web technology
19Rob A. Rutenbar Analog layout synthesis: what's missing? Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF synthesis, layout, analog
19Jeehong Yang, Serap A. Savari A Lossless Circuit Layout Image Compression Algorithm for Maskless Lithography Systems. Search on Bibsonomy DCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Circuit Layout Image, Maskless Lithography System, C4, Block C4, Lossless image compression
19Jiao-fang Shi, Jian Wang On Chip Temperature Sensors' Layout for Future Thermal Management. Search on Bibsonomy MVHI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CPU chip, management, sensor, layout, temperature
19Yong Chen 0001, Xian-He Sun, Rajeev Thakur, Huaiming Song, Hui Jin 0001 Improving Parallel I/O Performance with Data Layout Awareness. Search on Bibsonomy CLUSTER The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel I/O middleware, independent I/O, data access optimization, parallel I/O, parallel file systems, data layout, collective I/O, I/O performance
19Les R. Foulds, Horst W. Hamacher Facilities Layout Problems. Search on Bibsonomy Encyclopedia of Optimization The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Multifacilities location, REL chart scores, Improved procedure, Construction procedures, Planar subgraph, Multicriteria objective function, Transportation cost, Layout manager, Genetic algorithms, Graph theory, Heuristics, Simulated annealing, Graph, Decision support system, Integer programming, Location, NP-hard, Branch and bound, Lagrangian relaxation, Bounds, Quadratic assignment problem, Adjacency graph
19João Batista S. de Oliveira Two algorithms for automatic page layout and possible applications. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Automatic page layout, Packing, Placement algorithms
19José-Francisco Herbert-Acero, Jorge-Rodolfo Franco-Acevedo, Manuel Valenzuela-Rendón, Oliver Probst-Oleszewski Linear Wind Farm Layout Optimization through Computational Intelligence. Search on Bibsonomy MICAI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Sitting, Wind Farm Layout, Far Wake Effects, Turbulence and Roughness Length, Genetic Algorithms, Optimization, Simulated Annealing, Wind Turbines
19Guijian Huang, Xuemei Li, Xiaoyu Wu, Jibin Li 0001 Optimized Design of Cavity Layout and Feed System of Multi-cavity Injection Mould. Search on Bibsonomy JCAI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF injection mould, cavity layout, feed system, optimized design
19Ting Yang, Dinghua Zhang, Bing Chen, Shan Li Research on Plant Layout and Production Line Running Simulation in Digital Factory Environment. Search on Bibsonomy PACIIA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Digital factory, Manufacturing resource library, Plant layout, Production running simulation
19Yuan-Qing He, Shi-Xin Sun A Data Layout and Access Control Strategies of the Video Storage Server Based Disk Array. Search on Bibsonomy IIH-MSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multi-segment, Vdeo storage server, Architecture, MPEG, Disk array, Data layout
19Wing Chiu Tam, Osei Poku, R. D. (Shawn) Blanton Precise failure localization using automated layout analysis of diagnosis candidates. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF defect localization, physical failure analysis, diagnosis, layout analysis
19João Batista S. de Oliveira Two algorithms for automatic document page layout. Search on Bibsonomy ACM Symposium on Document Engineering The full citation details ... 2008 DBLP  DOI  BibTeX  RDF automatic page layout, packing, placement algorithms
Displaying result #501 - #600 of 11076 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license