The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for timing with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1962-1969 (16) 1970-1974 (17) 1975-1976 (20) 1977-1979 (20) 1980-1982 (26) 1983 (15) 1984-1985 (49) 1986 (40) 1987 (40) 1988 (60) 1989 (70) 1990 (106) 1991 (108) 1992 (98) 1993 (105) 1994 (169) 1995 (226) 1996 (211) 1997 (250) 1998 (263) 1999 (334) 2000 (379) 2001 (385) 2002 (598) 2003 (642) 2004 (803) 2005 (881) 2006 (1067) 2007 (1017) 2008 (1041) 2009 (734) 2010 (469) 2011 (452) 2012 (403) 2013 (441) 2014 (427) 2015 (454) 2016 (479) 2017 (486) 2018 (495) 2019 (475) 2020 (429) 2021 (411) 2022 (406) 2023 (432) 2024 (96)
Publication types (Num. hits)
article(5049) book(9) data(2) incollection(43) inproceedings(10844) phdthesis(177) proceedings(21)
Venues (Conferences, Journals, ...)
PATMOS(927) DAC(547) IEEE Trans. Comput. Aided Des....(462) ICCAD(338) CoRR(336) DATE(336) ASP-DAC(223) IEEE Trans. Commun.(210) IEEE Trans. Very Large Scale I...(198) ISCAS(197) ISQED(179) VLSI Design(142) ISPD(131) RTSS(127) ICCD(126) ACM Great Lakes Symposium on V...(125) More (+10 of total 2487)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 9063 occurrences of 3443 keywords

Results
Found 16149 publication records. Showing 16145 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Hai Zhou 0001 Timing analysis with crosstalk is a fixpoint on a complete lattice. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Iain Bate, Peter Nightingale, Anton Cervin Establishing Timing Requirements and Control Attributes for Control Loops in Real-Time Systems. Search on Bibsonomy ECRTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Aseem Agarwal, David T. Blaauw, Vladimir Zolotov, Sarma B. K. Vrudhula Statistical Timing Analysis Using Bounds. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Chi-Sheng Shih 0001, Jane W.-S. Liu Acquiring and Incorporating State-Dependent Timing Requirements. Search on Bibsonomy RE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Equivalent Waveform Propagation for Static Timing Analysis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Wonjoon Choi, Kia Bazargan Incremental Placement for Timing Optimization. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Kiran Seth, Aravindh Anantaraman, Frank Mueller 0001, Eric Rotenberg FAST: Frequency-Aware Static Timing Analysis. Search on Bibsonomy RTSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Doron Drusinsky, Man-tak Shing Verification of Timing Properties in Rapid System Prototyping. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23PariVallal Kannan, Dinesh Bhatia Interconnect Estimation for FPGAs under Timing Driven Domains. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Wei-Ping Zhu 0001, Yupeng Yan, M. Omair Ahmad, M. N. S. Swamy A feedforward timing recovery scheme using two samples per symbol: algorithm, performance and implementation issues. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Jiang Hu, Sachin S. Sapatnekar A timing-constrained simultaneous global routing algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Colin J. Fidge Timing Analysis of Assembler Code Control-Flow Paths. Search on Bibsonomy FME The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Andrew B. Kahng, Stefanus Mantik, Igor L. Markov Min-max placement for large-scale timing optimization. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Abhik Roychoudhury, Xianfeng Li, Tulika Mitra Timing Analysis of Embedded Software for Speculative Processors. Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF worst case execution time, branch prediction
23Pau Martí, Josep M. Fuertes, Gerhard Fohler, Krithi Ramamritham Improving Quality-of-Control Using Flexible Timing Constraints: Metric and Scheduling Issues. Search on Bibsonomy RTSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Erik Yu-Shing Hu, Guillem Bernat, Andy J. Wellings A Static Timing Analysis Environment Using Java Architecture for Safety Critical Real-Time Systems. Search on Bibsonomy WORDS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Portable WCET Analysis, Java, Hard Real-Time Systems, Real-Time Java, Worst-Case Execution Time Analysis
23Toshiyuki Okayasu, Masakatsu Suda, Kazuhiro Yamamoto CMOS Circuit Technology for Precise GHz Timing Generator. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Xiaobo Sharon Hu, Tao Zhou, Edwin Hsing-Mean Sha Estimating probabilistic timing performance for real-time embedded systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Angela Krstic, Yi-Min Jiang, Kwang-Ting Cheng Pattern generation for delay testing and dynamic timing analysisconsidering power-supply noise effects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Sudha Krishnamurthy, William H. Sanders, Michel Cukier A Dynamic Replica Selection Algorithm for Tolerating Timing Faults. Search on Bibsonomy DSN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Youngtae Kim, Taewhan Kim Accurate exploration of timing and area trade-offs in arithmetic optimization using carry-save-adders. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Nitin Chandrachoodan, Shuvra S. Bhattacharyya, K. J. Ray Liu The hierarchical timing pair model. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Yao-Wen Chang, Kai Zhu 0001, D. F. Wong 0001 Timing-driven routing for symmetrical array-based FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF field-programmable gate array, synthesis, layout, computer-aided design of VLSI
23Nina Amla, E. Allen Emerson, Robert P. Kurshan, Kedar S. Namjoshi Model Checking Synchronous Timing Diagrams. Search on Bibsonomy FMCAD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23David T. Blaauw, Rajendran Panda, Abhijit Das Removing user specified false paths from timing graphs. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Supratik Chakraborty, Kenneth Y. Yun, David L. Dill Timing analysis of asynchronous systems using time separation of events. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Shihliang Ou, Massoud Pedram Timing-Driven Bipartitioning with Replication Using Iterative Quadratic Programming. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Navin Kashyap, David L. Neuhoff Codes for Data Synchronization with Timing. Search on Bibsonomy Data Compression Conference The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Nina Amla, E. Allen Emerson, Kedar S. Namjoshi Efficient Decompositional Model Checking for Regular Timing Diagrams. Search on Bibsonomy CHARME The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Jing Chen, Alan Burns 0001 Loop-Free Asynchronous Data Sharing in Multiprocessor Real-Time Systems Based on Timing Properties. Search on Bibsonomy RTCSA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Data sharing mechanism, Asynchronous data sharing, Wait-free, Lock-free, Loop-free
23Jordi Cortadella, Michael Kishinevsky, Steven M. Burns, Ken S. Stevens Synthesis of asynchronous control circuits with automatically generated relative timing assumptions. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Christopher A. Healy, David B. Whalley Tighter Timing Predictions by Automatic Detection and Exploitation of Value-Dependent Constraints. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Abdelhalim El-Aboudi, El Mostapha Aboulhamid An algorithm for the verification of timing diagrams realizability. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Iain Bate, Alan Burns 0001 Investigation of the pessimism in distributed systems timing analysis. Search on Bibsonomy ECRTS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Sung-Soo Lim, Jihong Kim 0001, Sang Lyul Min A Worst Case Timing Analysis Technique for Optimized Programs. Search on Bibsonomy RTCSA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF real-time systems, compiler optimization, worst case execution time
23Eduard Cerny, Fen Jin Verification of Real Time Controllers Against Timing Diagram Specifications Using Constraint Logic Programming. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Hsiao-Ping Tseng, Louis Scheffer, Carl Sechen Timing and Crosstalk Driven Area Routing. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
23R. Iris Bahar, Hyunwoo Cho, Gary D. Hachtel, Enrico Macii, Fabio Somenzi Symbolic timing analysis and resynthesis for low power of combinational circuits containing false paths. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Chen-Liang Fang, Wen-Ben Jone Timing optimization by gate resizing and critical path identification. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
23Srinivas Devadas, Kurt Keutzer, Sharad Malik, Albert R. Wang Event suppression: improving the efficiency of timing simulation for synchronous digital circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
23Shiang-Tang Huang, Tai-Ming Parng, Jyuo-Min Shyu Timed Boolean calculus and its applications in timing analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
23Dave Filo, David C. Ku, Claudionor José Nunes Coelho Jr., Giovanni De Micheli Interface optimization for concurrent systems under timing constraints. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23John A. Nestor, Ganesh Krishnamoorthy SALSA: a new approach to scheduling with timing constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23H. Warmers, D. Sass, Ernst-Helmut Horneber Switch-level timing models in the MOS simulator BRASIL. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Wilm E. Donath, Reini J. Norman, Bhuwan K. Agrawal, Stephen E. Bello, Sang-Yong Han, Jerome M. Kurtzberg, Paul Lowy, Roger I. McMillan Timing Driven Placement Using Complete Path Delays. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Kaushik Roy 0001, Jacob A. Abraham A Novel Approach to Accurate Timing Verification Using RTL Descriptions. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
23Bogong Su, Jian Wang 0046, Jinshi Xia Global microcode compaction under timing constraints. Search on Bibsonomy MICRO The full citation details ... 1988 DBLP  BibTeX  RDF
23George Varghese, Anthony Lauck Hashed and Hierarchical Timing Wheels: Data Structures for the Efficient Implementation of a Timer Facility. Search on Bibsonomy SOSP The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
23Seung Ho Hwang, Young Hwan Kim, A. Richard Newton An accuration delay modeling technique for switch-level timing verification. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
22Tomohito Takubo, Yoshinori Imada, Kenichi Ohara, Yasushi Mae, Tatsuo Arai Rough terrain walking for bipedal robot by using ZMP criteria map. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Khaled R. Heloue, Farid N. Najm Early Analysis and Budgeting of Margins and Corners Using Two-Sided Analytical Yield Models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Pouria Bastani, Li-C. Wang, Magdy S. Abadir Linking Statistical Learning to Diagnosis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Joseph Keshet, Shai Shalev-Shwartz, Yoram Singer, Dan Chazan A Large Margin Algorithm for Speech-to-Phoneme and Music-to-Score Alignment. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Jan Gustafsson, Andreas Ermedahl Experiences from Applying WCET Analysis in Industrial Settings. Search on Bibsonomy ISORC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Eugene Hsu, Marco da Silva, Jovan Popovic Guided time warping for motion editing. Search on Bibsonomy Symposium on Computer Animation The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Navin Kashyap, David L. Neuhoff Periodic prefix-synchronized codes: A generating function approach. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Jinfeng Huang, Jeroen Voeten, Henk Corporaal Correctness-preserving synthesis for real-time control software. Search on Bibsonomy QSIC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Haoxing Ren, David Zhigang Pan, David S. Kung 0001 Sensitivity guided net weighting for placement-driven synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Chao-Yang Yeh, Malgorzata Marek-Sadowska Skew-programmable clock design for FPGA and skew-aware placement. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF clock architecture, skew optimization, placement
22Jeng-Liang Tsai, Dong Hyun Baik, Charlie Chung-Ping Chen, Kewal K. Saluja False Path and Clock Scheduling Based Yield-Aware Gate Sizing. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Cristina P. Santos 0001 Generating timed Trajectories for an Autonomous Vehicle: a Non-linear Dynamical Systems Approach. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Andrew B. Kahng, Bao Liu 0001 Q-Tree: A New Iterative Improvement Approach for Buffered Interconnect Optimization. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Aravindh Anantaraman, Kiran Seth, Kaustubh Patil, Eric Rotenberg, Frank Mueller 0001 Virtual Simple Architecture (VISA): Exceeding the Complexity Limit in Safe Real-Time Systems. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Wen-Tsong Shiue Low Power Memory Design. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Karl-Heinz Zimmermann Linear mappings ofn-dimensional uniform recurrences ontok-dimensional systolic arrays. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
22Mukund Sivaraman, Shail Aditya Cycle-time aware architecture synthesis of custom hardware accelerators. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded hardware architecture synthesis, operator chaining, target clock period, timing during scheduling, high-level synthesis, timing analysis, delay analysis, clock frequency
22Jan Lindström, Kimmo E. E. Raatikainen Using importance of transactions and optimistic concurrency control in firm real-time databases. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF optimistic concurrency control protocol, transaction importance, hard real-time databases, database consistency requirements, heterogeneous transactions, OCC-PDATI, serialization order dynamic adjustment, prototype database system, performance, real-time systems, concurrency control, timing, database management systems, transaction processing, timing constraints, conflict resolution, access protocols
22Iain Bate, Guillem Bernat, G. Murphy, Peter P. Puschner Low-level analysis of a portable Java byte code WCET analysis framework. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-level analysis, portable Java byte code, machine-independent program flow analysis, machine-dependent timing analysis, worst-case execution frequencies, platform-dependent information, processor pipeline, platform-independent approach, Java, timing, software performance evaluation, pipeline processing, software portability, program diagnostics, worst-case execution time analysis, program constructs
22Yutaka Ishibashi, Shuji Tasaka, Hiromasa Miyamoto Joint Synchronization between Live and Stored Media in Multicast Communications. Search on Bibsonomy LCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF joint synchronization, live media, stored media, networked multimedia applications, stored video stream, live voice streams, buffering time, retransmission control, group synchronization control, output timing, timing, distance learning, distance learning, synchronisation, multimedia communication, multicast communication, multicast communications, visual communication, voice communication, multicast group, live video streams
22K. H. Kim, Cuong Nguyen, Chan-Mo Park Real-Time Simulation Techniques Based on the RTO.k Object Modeling. Search on Bibsonomy COMPSAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF RTO.k object modeling, real-time simulation techniques, simulation objects, real-time embedded computer systems, real-time systems, adaptability, parallel processing, parallel processing, virtual machines, timing, object-oriented methods, expandability, modifiability, timing behavior, object structure, application environments
22Carlton Bickford, Marie S. Teo, Gary Wallace, John A. Stankovic, Krithi Ramamritham A robotic assembly application on the Spring real-time system. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF printed circuit manufacture, printed circuit layout, robotic assembly application, Spring real-time system, run-time system support, predictability demands, robotic work-cell, circuit board assembly, user understanding, target hardware properties, process layout, resource layout, shared resource usage, process suspension, efficient run-time representation, real-time systems, robots, timing, completeness, flexibility, reengineering, timing analysis, circuit layout CAD, assembling, systems re-engineering, interprocess communication, program representation, porting, ease of use, industrial robots, software development tools
22Yinghua Min, Zhuxing Zhao, Zhongcheng Li Boolean process-an analytical approach to circuit representation (II). Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF waveform analysis, circuit representation, logical behavior, waveform functions, mathematical tools, waveform polynomials, input transitions, VLSI, Boolean functions, timing, design for testability, logic design, logical design, polynomials, integrated circuit design, VLSI circuits, performance enhancement, timing behavior, Boolean process, circuit delay
22Youngmin Hur, Stephen A. Szygenda Special purpose array processor for digital logic simulation. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF special purpose array processor, digital logic simulation, large VLSI circuits, compute-intensive tasks, digital analysis, time driven array processor, massively parallel processing element, compiled event-driven technology, nominal transport delay timing analysis, delay time order, levelized circuit, massively parallel PE array, MARS accelerator, VLSI, parallel architectures, delays, timing, fault simulation, logic CAD, digital simulation, circuit analysis computing, special purpose computers, SIMD architecture, hardware cost
22Martin Adelantado, Frédéric Boniol, D. Pinault A simulation environment for designing distributed reactive/deliberative time-constrained applications. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF distributed reactive/deliberative time-constrained applications design, deliberative systems, terminal state, logical time notion, man-machine interface handlers, time critical applications, Sun4 IPX workstation, X-Window graphical interface, French Ministry of Defense, simulator, real-time systems, graphical user interfaces, distributed processing, requirements, programming, programming environments, timing, computer games, program compilers, digital simulation, reactive systems, timing constraints, distributed real-time systems, simulation environment, application generators, reactive programming, Unix operating system, initial state
22Seong Yong Ohm, Fadi J. Kurdahi, Nikil D. Dutt, Min Xu A comprehensive estimation technique for high-level synthesis. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF HLS benchmarks, RT level components, RTL datapaths, estimation technique, delays, high level synthesis, high-level synthesis, timing, design space exploration, granularity, hardware description languages, data flow graphs, registers, system buses, timing model, buses, behavioral description, layout area
22Claudio Truzzi, Eric Beyne, Edwin Ringoot, J. Peeters Signal propagation in high-speed MCM circuits. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF thin film circuits, signal propagation, high-speed MCM circuits, thin-film multichip module substrate, timing analyses, lossy interconnection lines, timing, circuit analysis computing, circuit simulations, CMOS integrated circuits, CMOS integrated circuits, multichip modules, receivers, drivers, microsystems, substrates
22Ashish Mehra, Jennifer Rexford, Hock-Siong Ang, Farnam Jahanian Design and evaluation of a window-consistent replication service. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF back-up procedures, window-consistent primary-backup replication service, data replication protocols, bounded overhead, repository availability, consistency relaxation, controlled inconsistency, update transmission scheduling, client applications, failed primary, client loads, temporal inconsistency bounds, scheduling, fault tolerance, real-time systems, data integrity, distributed databases, timing, redundancy, redundancy, database theory, timing constraints, software fault tolerance, real-time applications, replicated databases, service guarantees, dependability constraints
22Arkady Kanevsky, Peter C. Krupp, Paul J. Wallace Paradigm for building robust real-time distributed mission-critical systems. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF search radar, military systems, radar tracking, robust real-time distributed mission-critical systems building, long life-cycle defense system, stringent safety requirements, stringent timing requirements, stringent dependability requirements, multiple target tracking, surveillance radar system, system upgrade support, system hardware, baseline performance, SEI/CMU Simplex Architecture, prototypical industrial feedback control application, integrated standard technologies, real-time systems, reliability, fault tolerant computing, distributed processing, timing, software fault tolerance, target tracking, safety-critical software, system software, military computing, application software, computing paradigm
22Clifford W. Mercer, Ragunathan Rajkumar An interactive interface and RT-Mach support for monitoring and controlling resource management. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF RT-Mach, Real-Time Mach, resource management monitoring, resource management control, timing characteristics, a priori resource allocation decisions, changing user needs, operating system resource reserves, resource reservation abstraction, processor capacity reserves, rmon, processor usage display, processor reservation, reservation change requests, policy decisions, real-time systems, user interfaces, resource allocation, timing, multimedia systems, dynamic systems, interactive systems, operating systems (computers), multimedia computing, system monitoring, quality of service manager, interactive interface
22Yoshitaka Shibata, Naoya Seta, Shogo Shimizu Media synchronization protocols for packet audio-video system on multimedia information networks. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF audio-visual systems, media synchronization protocols, packet audio-video system, multimedia information networks, distributed multimedia information services, semantically synchronized multimedia objects, distributed workstation environment, data output timing, packet stream regulation, audio/video transmission system architecture, strict synchronization, relaxed synchronization, silence-detected synchronization, operating system environments, interprocess communication functions, tasks/threads, synchronization accuracy evaluation, performance evaluation, performance evaluation, timing, UNIX, packet switching, synchronisation, multimedia communication, rate control, network operating systems, access protocols, information networks, continuous media, Mach, load conditions
22Angela Krstic, Kwang-Ting Cheng Generation of high quality tests for functional sensitizable paths. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high quality tests, functional sensitizable paths, long paths, untestable paths, faulty conditions, test derivation, logic testing, delays, timing, integrated circuit testing, combinational circuits, combinational circuits, automatic testing, delay testing, test vectors, timing information
22Anirudh Devgan, Ronald A. Rohrer Efficient simulation of interconnect and mixed analog-digital circuits in ACES. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF adaptively controlled explicit simulation, mixed analog-digital circuits, analog circuit simulation, interconnect circuit simulation, AWE macromodels, nonlinear terminations, variable accuracy device models, circuit topology constraints removal, timing, circuit analysis computing, transient analysis, analogue integrated circuits, integrated circuit interconnections, mixed analogue-digital integrated circuits, ACES, transient simulation, timing simulation
22John W. Daly, Andrew Brooks, James Miller 0001, Marc Roper, Murray Wood The effect of inheritance on the maintainability of object-oriented software: an empirical study. Search on Bibsonomy ICSM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF object-oriented software modification, inheritance depth, timing data, inductive analysis, data structures, object-oriented programming, empirical study, software maintenance, timing, inheritance, inheritance, maintainability, object-oriented software
22Kevin Lano, Stephen J. Goldsack Discrete event process controller synthesis using VDM++. Search on Bibsonomy ICECCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ignition, control system synthesis, heat systems, discrete event process controller synthesis, VDM/sup ++/, controller specification, gas burner system, systematic method, declarative requirement statements, abstract VDM/sup ++/ specifications, concrete VDM/sup ++/ specifications, formalised requirements, refinement process, real-time systems, formal specification, temporal logic, timing, specification languages, timing analysis, object-oriented languages, process control, parallel languages, discrete event systems, programmable controllers, controller design, Vienna development method, control system CAD, Ada95, real-time temporal logic, combustion
22William S. Hiles, David T. Marlow Approximation of FDDI minimum reconfiguration time. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF military communication, FDDI minimum reconfiguration time approximation, military platforms, reconfiguration timing, FDDI product testing, timing, data transfer, FDDI, military computing, LAN interconnection, mission critical systems, interconnection topologies
22Farnam Jahanian, Aloysius K. Mok Modechart: A Specification Language for Real-Time Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Modechart, absolute timing, real-time clock, RTL formulas, RTL assertions, graphical implementation, SARTOR, real-time systems, real-time systems, semantics, specification languages, specification language, rapid prototyping, timing constraints, abstraction levels, logic programming languages, hierarchical organization, real-time logic
21Gregory Lucas, Chen Dong 0003, Deming Chen Variation-aware placement for FPGAs with multi-cycle statistical timing analysis. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-cycle, variation-aware, fpga, placement, ssta, statistical static timing analysis
21Qin Tang, Amir Zjajo, Michel Berkelaar, Nick van der Meijs RDE-based transistor-level gate simulation for statistical static timing analysis. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF non-Monte Carlo, transistor-level modeling, statistical static timing analysis
21Joshua Wall, Jamil Y. Khan Dynamic protocol timing adaptation for improved efficiency in IEEE 802.11 wireless LANs. Search on Bibsonomy IWCMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF MAC efficiency, dynamic protocol timing, 802.11, CSMA/CA
21Shingo Takahashi, Yuki Yoshida, Shuji Tsukiyama A Gaussian mixture model for statistical timing analysis. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF slew distribution, variability, Gaussian mixture model, statistical timing analysis, delay distribution
21Zhonglei Wang, Andreas Herkersdorf An efficient approach for system-level timing simulation of compiler-optimized embedded software. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF iSciSim, software timing simulation, system level design
21Sari Onaissi, Khaled R. Heloue, Farid N. Najm Clock skew optimization via wiresizing for timing sign-off covering all process corners. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clock skew optimization, parameterized timing analysis, sign-off, wiresizing, variability
21Sachin S. Talathi, Dong-Uk Hwang, William L. Ditto Spike timing dependent plasticity promotes synchrony of inhibitory networks in the presence of heterogeneity. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Inhibitory synapses, Networks, Synchronization, Heterogeneity, Spike timing dependent plasticity
21Yue Yu 0002, Shangping Ren, Ophir Frieder Interval-Based Timing Constraints Their Satisfactions and Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interval based timing constraints, satisfaction probability, event occurrence, normal distribution, exponential distribution
21Kishore Kumar Muchherla, Pinhong Chen, Dongsheng Ma, Janet Meiling Wang A noniterative equivalent waveform model for timing analysis in presence of crosstalk. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF equivalent waveform, delay, noise, timing analysis, Deep sub micron
21Arkadiy Morgenshtein, Eby G. Friedman, Ran Ginosar, Avinoam Kolodny Timing optimization in logic with interconnect. Search on Bibsonomy SLIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect, logic circuits, timing optimization, repeaters, logical effort
21Kumar Yelamarthi, Chien-In Henry Chen Process Variation Aware Timing Optimization through Transistor Sizing in Dynamic CMOS Logic. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF binary-to-thermometer decoder, process variations, timing optimization, transistor sizing, dynamic circuits, binary adders
21Lin Xie, Azadeh Davoodi Fast and Accurate Statistical Static Timing Analysis with Skewed Process Parameter Variation. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Skew-Normal, process variation, Gaussian, statistical static timing analysis
21Intaik Park, Donghwi Lee, Erik Chmelar, Edward J. McCluskey Inconsistent Fail due to Limited Tester Timing Accuracy. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF inconsistent fail, tester timing accuracy, tester EPA, delay test, inconsistency
21Qingqi Dou, Jacob A. Abraham Low-cost Test of Timing Mismatch Among Time-Interleaved A/D Converters in High-speed Communication Systems. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Time-Interleaved ADC, Timing Mismatch, Mixed-signal testing, Low-cost test, High speed testing
Displaying result #601 - #700 of 16145 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license