The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ATPG with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1990 (28) 1991-1993 (24) 1994-1995 (46) 1996 (38) 1997 (37) 1998 (36) 1999 (52) 2000 (47) 2001 (42) 2002 (58) 2003 (61) 2004 (54) 2005 (65) 2006 (67) 2007 (50) 2008 (45) 2009 (30) 2010 (16) 2011-2012 (20) 2013 (16) 2014-2015 (25) 2016-2017 (27) 2018-2019 (21) 2020-2022 (23) 2023 (11)
Publication types (Num. hits)
article(216) incollection(4) inproceedings(717) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1073 occurrences of 407 keywords

Results
Found 939 publication records. Showing 939 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
9Liyang Lai, Thomas Rinderknecht, Wu-Tung Cheng, Janak H. Patel Logic BIST Using Constrained Scan Cells. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Xinli Gu, Cyndee Wang, Abby Lee, Bill Eklow, Kun-Han Tsai, Jan Arild Tofte, Mark Kassab, Janusz Rajski Realizing High Test Quality Goals with Smart Test Resource Usage. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9David M. Wu, Mike Lin, Madhukar Reddy, Talal Jaber, Anil Sabbavarapu, Larry Thatcher An Optimized DFT and Test Pattern Generation Strategy for an Intel High Performance Microprocessor. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9C. P. Ravikumar, Graham Hetherington A Holistic Parallel and Hierarchical Approach towards Design-For-Test. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Experiments and Case Studies, Practical Test Engineering
9Brady Benware, Cam Lu, John Van Slyke, Prabhu Krishnamurthy, Robert Madge, Martin Keim, Mark Kassab, Janusz Rajski Affordable and Effective Screening of Delay Defects in ASICs using the Inline Resistance Fault Model. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Kenneth M. Butler, Jayashree Saxena, Tony Fryars, Graham Hetherington Minimizing Power Consumption in Scan Testing: Pattern Generation and DFT Techniques. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Aman Kokrady, C. P. Ravikumar Fast, Layout-Aware Validation of Test-Vectors for Nanometer-Related Timing Failures. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Timing Failure, Test Validation, Crosstalk, At Speed Testing, IR Drop
9Hafizur Rahaman 0001, Debesh K. Das, Bhargab B. Bhattacharya Easily Testable Realization of GRM and ESOP Networks for Detecting Stuck-at and Bridging Faults. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Yu Zheng, Kenneth L. Shepard On-chip oscilloscopes for noninvasive time-domain measurement of waveforms in digital integrated circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Christophe Fagot, Olivier Gascuel, Patrick Girard 0001, Christian Landrault A Ring Architecture Strategy for BIST Test Pattern Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF pseudo-random testing, deterministic BIST, logic BIST
9Zhuo Li 0001, Xiang Lu, Wangqi Qiu, Weiping Shi, D. M. H. Walker A circuit level fault model for resistive bridges. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault models, bridge faults, delay faults
9Xijiang Lin, Ron Press, Janusz Rajski, Paul Reuter, Thomas Rinderknecht, Bruce Swanson, Nagesh Tamarapalli High-Frequency, At-Speed Scan Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Wangqi Qiu, D. M. H. Walker Testing the Path Delay Faults of ISCAS85 Circuit c6288. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Mahesh A. Iyer A Robust and Scalable Technique for the Constraints Solving Problem in High-Level Verification. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Ling Liu, Wennan Feng, Song Jia, Anping Jiang, Lijiu Ji Design Retargetable Platform System for Microprocessor Functional Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Guanghui Li 0001, Ming Shao, Xiaowei Li 0001 Design Error Diagnosis Based on Verification Techniques. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Magdy S. Abadir, Jing Zeng, Carol Pyron, Juhong Zhu Automated Test Model Generation from Switch Level Custom Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Elizabeth Broering, Satyanarayana V. Lokam Width-Based Algorithms for SAT and CIRCUIT-SAT: (Extended Abstract). Search on Bibsonomy SAT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Aman Kokrady, C. P. Ravikumar Static Verification of Test Vectors for IR Drop Failure. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9C. V. Krishna, Nur A. Touba Adjustable Width Linear Combinational Scan Vector Decompression. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Subhasish Mitra, Kee Sup Kim XMAX: X-Tolerant Architecture for MAXimal Test Compression. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Joel Grodstein, Dilip K. Bhavsar, Vijay Bettada, Richard A. Davies Automatic Generation of Critical-Path Tests for a Partial-Scan Microprocessor. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Yoshinobu Higami, Shin-ya Kobayashi, Yuzo Takamatsu, Seiji Kajihara, Irith Pomeranz A Method to Find Don't Care Values in Test Sequences for Sequential Circuits. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Chunsheng Liu, Krishnendu Chakrabarty Compact Dictionaries for Fault Diagnosis in BIST. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Manish Sharma, Janak H. Patel, Jeff Rearick Test Data Compression and Test Time Reduction of Longest-Path-Per-Gate Tests based on Illinois Scan Architecture. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Wangqi Qiu, D. M. H. Walker An Efficient Algorithm for Finding the K Longest Testable Paths Through Each Gate in a Combinational Circuit. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9David M. Wu, Mike Lin, Subhasish Mitra, Kee Sup Kim, Anil Sabbavarapu, Talal Jaber, Pete Johnson, Dale March, Greg Parrish H-DFT: A Hybrid DFT Architecture For Low-Cost High Quality Structural Testing. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Vishwani D. Agrawal, Dong Hyun Baik, Yong Chang Kim, Kewal K. Saluja Exclusive Test and its Applications to Fault Diagnosis. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Vishal J. Mehta, Kunal K. Dave, Vishwani D. Agrawal, Michael L. Bushnell A Fault-Independent Transitive Closure Algorithm for Redundancy Identification. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Wenjing Rao, Ismet Bayraktaroglu, Alex Orailoglu Test application time and volume compression through seed overlapping. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF XOR Network, scan chain concealment, test compression, SOC Test, deterministic test
9Antoni Ferré, Joan Figueras Leakage power bounds in CMOS digital technologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Keerthi Heragu, Manish Sharma, Rahul Kundu, Ronald D. Blanton Test vector generation for charge sharing failures in dynamic logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Ki-Wook Kim, Taewhan Kim, C. L. Liu 0001, Sung-Mo Kang Domino logic synthesis based on implication graph. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Carl Barnhart, Vanessa Brunkhorst, Frank Distler, Owen Farnsworth, Andrew Ferko, Brion L. Keller, David Scott, Bernd Könemann, Takeshi Onodera Extending OPMISR beyond 10x Scan Test Efficiency. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Jason Cong, Yizhou Lin, Wangning Long SPFD-based global rewiring. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA synthesis, SPFD, SPFD-based global rewiring, logical re-synthesis
9André Schneider, Karl-Heinz Diener, Eero Ivask, Jaan Raik, Raimund Ubar, P. Miklos, T. Cibáková, Elena Gramatová Internet-Based Collaborative Test Generation with MOSCITO. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Soumitra Bose Automated Modeling of Custom Digital Circuits for Test. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Irith Pomeranz, Sudhakar M. Reddy Test Enrichment for Path Delay Faults Using Multiple Sets of Target Faults. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Saravanan Padmanaban, Spyros Tragoudas Exact Grading of Multiple Path Delay Faults. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9André Schneider, Karl-Heinz Diener, Eero Ivask, Raimund Ubar, Elena Gramatová, Thomas Hollstein, Wieslaw Kuzmicz, Zebo Peng Integrated Design and Test Generation Under Internet Based Environment MOSCITO. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Yoshinobu Higami, Shin-ya Kobayashi, Yuzo Takamatsu A Method to Reduce Power Dissipation during Test for Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Irith Pomeranz, Sudhakar M. Reddy Improving the Efficiency of Static Compaction Based on Chronological Order Enumeration of Test Sequences. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Mandana Amiri, Andreas G. Veneris, Ivor Ting Design rewiring for power minimization [logic design]. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Fulvio Corno, Gianluca Cumani, Matteo Sonza Reorda, Giovanni Squillero Automatic Test Program Generation from RT-Level Microprocessor Descriptions. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Dave Stang, Ramaswami Dandapani An Implementation of IEEE 1149.1 to Avoid Timing Violations and Other Practical In-Compliance Improvements. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Francis G. Wolff, Christos A. Papachristou Multiscan-Based Test Compression and Hardware Decompression Using LZ77. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Manish Sharma, Janak H. Patel Finding a Small Set of Longest Testable Paths that Cover Every Gate. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Xiao Liu 0010, Michael S. Hsiao, Sreejit Chakravarty, Paul J. Thadikaran Techniques to Reduce Data Volume and Application Time for Transition Test. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Vishal Jain, John A. Waicukauski Scan Test Data Volume Reduction in Multi-Clocked Designs with Safe Capture Technique. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Bipul Chandra Paul, Kaushik Roy 0001 Testing CrossTalk Induced Delay Faults in Static CMOS Circuits Through Dynamic Timing Analysis. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
9Peter Wohl, John A. Waicukauski, Sanjay Patel, Gregory A. Maston Effective diagnostics through interval unloads in a BIST environment. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fault diagnosis, built-in self-test (BIST)
9Demos Anastasakis, Robert F. Damiano, Hi-Keung Tony Ma, Ted Stanion A practical and efficient method for compare-point matching. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF combinational verification, latch mapping, equivalence checking
9Malay K. Ganai, Pranav Ashar, Aarti Gupta, Lintao Zhang, Sharad Malik Combining strengths of circuit-based and CNF-based algorithms for a high-performance SAT solver. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF boolean constraint propagation (BCP), bounded model checking (BMC), conjunctive normal form (CNF), boolean satisfiability (SAT)
9Antoni Ferré, Joan Figueras LEAP: An Accurate Defect-Free IDDQ Estimator. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF leakage current, I DDQ
9Shivakumar Swaminathan, Krishnendu Chakrabarty On Using Twisted-Ring Counters for Test Set Embedding in BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF non-intrusive testing, scalable BIST, test-per-clock, reseeding, deterministic BIST
9Malay K. Ganai, Praveen Yalagandula, Adnan Aziz, Andreas Kuehlmann, Vigyan Singhal SIVA: A System for Coverage-Directed State Space Search. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF formal methods, coverage, functional verification, guided search
9Elmet Orasson, Rein Raidma, Raimund Ubar, Gert Jervan, Zebo Peng Fast Test Cost Calculation for Hybrid BIST in Digital Systems. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Chin Ngai Sze, Yu-Liang Wu Improved alternative wiring scheme applying dominator relationship. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Hideyuki Ichihara, Atsuhiro Ogawa, Tomoo Inoue, Akio Tamura Dynamic Test Compression Using Statistical Coding. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Ofer Strichman Pruning Techniques for the SAT-Based Bounded Model Checking Problem. Search on Bibsonomy CHARME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Ondrej Novák, Jiri Nosek Test Pattern Decompression Using a Scan Chain. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF hardware test pattern generators, BIST, test pattern generation, scan design
9Keerthi Heragu, Manish Sharma, Rahul Kundu, R. D. (Shawn) Blanton Testing of Dynamic Logic Circuits Based on Charge Sharing. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Magdy S. Abadir, Juhong Zhu, Li-C. Wang Analysis of Testing Methodologies for Custom Designs in PowerPCTM Microprocessor. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Sitaram Yadavalli, Sandip Kundu On Fault-Simulation Through Embedded Memories On Large Industrial Designs. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Yi-Min Jiang, Angela Krstic, Kwang-Ting Cheng Estimation for maximum instantaneous current through supply lines for CMOS circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, Chung-Yang Huang, Forrest Brewer AQUILA: An Equivalence Checking System for Large Sequential Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF state exploration, formal verification, Design verification, equivalence checking
9Xiaowei Li 0001, Paul Y. S. Cheung, Hideo Fujiwara LFSR-Based Deterministic TPG for Two-Pattern Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF configurable LFSR, built-in self-test, path delay faults, two-pattern test
9Rohit Kapur, Cy Hay, Thomas W. Williams The Mutating Metric for Benchmarking Test. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Lijian Li, Yinghua Min An efficient BIST design using LFSR-ROM architecture. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF read-only storage, BIST design, LFSR-ROM architecture, built-in self test, logic design, automatic test pattern generation, test pattern generation, integrated circuit design, shift registers, hardware overhead, ROM, integrated circuit economics
9Ameet Bagwe, Rubin A. Parekhji Functional testing and fault analysis based fault coverage enhancement techniques for embedded core based systems. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault coverage enhancement, embedded core based systems, test constraints, Texas Instruments TMS320C27xx, memory wrapper logic, fault diagnosis, logic testing, integrated circuit testing, application specific integrated circuits, functional testing, digital signal processing chips, fault analysis
9Ilker Hamzaoglu, Janak H. Patel Reducing Test Application Time for Built-in-Self-Test Test Pattern Generators. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Built-in-Self-Test, Test Generation, Combinational Circuits, Test Application Time, Stuck-at Fault Model
9Hiroki Wada, Toshimitsu Masuzawa, Kewal K. Saluja, Hideo Fujiwara Design for Strong Testability of RTL Data Paths to Provide Complete Fault Efficiency. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Complete fault efficiency, Design for testability, Data path, Hierarchical test
9Yu-Liang Wu, Wangning Long, Hongbing Fan A Fast Graph-Based Alternative Wiring Scheme for Boolean Networks. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Alternative wiring, Graph-based pattern matching, Logic synthesis
9Raghuram S. Tupuri, Jacob A. Abraham, Daniel G. Saab Hierarchical Test Generation for Systems On a Chip. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Dinos Moundanos, Jacob A. Abraham On Design Validation Using Verification Technology. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF extracted control flow machine, verification, abstraction, test generation, coverage analysis, OBDDs
9Ilker Hamzaoglu, Janak H. Patel New Techniques for Deterministic Test Pattern Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF redundancy, stuck-at fault, Boolean satisfiability, automatic test generation, scan design, logic implications
9Ghassan Al Hayek, Chantal Robach From Design Validation to Hardware Testing: A Unified Approach. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF VHDL, mutation testing, design validation
9Harry Hengster, Bernd Becker 0001 Synthesis of Circuits Derived from Decision Diagrams - Combining Small Delay and Testability. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF EXOR-based Synthesis, Decision Diagrams, Synthesis for Testability, High Speed Circuits
9Toshio Murayama, Kimihiro Ogawa, Haruhiko Yamaguchi Estimation of Peak Current through CMOS VLSI Circuit Supply Lines. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Martin Keim, Nicole Drechsler, Bernd Becker 0001 Combining GAs and Symbolic Methods for High Quality Tests of Sequential Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Debesh Kumar Das, Satoshi Ohtake, Hideo Fujiwara New DFT Techniques of Non-Scan Sequential Circuits with Complete Fault Efficiency. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Fatih Kocan, Daniel G. Saab Concurrent D-algorithm on reconfigurable hardware. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Ki-Wook Kim, C. L. Liu 0001, Sung-Mo Kang Implication graph based domino logic synthesis. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9José Alberto Espejo, Luis Entrena, Enrique San Millán, Emilio Olías Logic Restructuring for MUX-Based FPGAs. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Paul Chang, Brion L. Keller, Sarala Paliwal Design and Implementation of a Parallel Weighted Random Pattern and Logic Built in Self Test Algorithm. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF LBIST, WRPT, logic built in self test, weighted random pattern test, parallel processing, fault simulation
9Paulo F. Flores, Horácio C. Neto, Krishnendu Chakrabarty, João Marques-Silva 0001 Test pattern generation for width compression in BIST. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Josef Schmid, Joachim Knäblein Advanced Synchronous Scan Test Methodology for Multi Clock Domain ASICs. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9HyungWon Kim 0001, John P. Hayes Delay Fault Testing of Designs with Embedded IP Cores. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Michael A. Margolese, F. Joel Ferguson Using Temporal Constraints for Eliminating Crosstalk Candidates for Design and Test. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Silvia Chiusano, Fulvio Corno, Paolo Prinetto RT-level TPG Exploiting High-Level Synthesis Information. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Noppanunt Utamaphethai, R. D. (Shawn) Blanton, John Paul Shen Superscalar Processor Validation at the Microarchitecture Level. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Sujit Dey, Vijay Gangaram, Miodrag Potkonjak A controller redesign technique to enhance testability of controller-data path circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Frank F. Hsu, Janak H. Patel High-Level Controllability and Observability Analysis for Test Synthesis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF controllability, observability, high-level test synthesis, behavioral modification
9Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda, Massimo Violante Exploiting Symbolic Techniques for Partial Scan Flip Flop Selection. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Zhongcheng Li, Yinghua Min, Robert K. Brayton A New Low-Cost Method for Identifying Untestable Path Delay Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF non-robustly untestable, Delay testing, path delay fault, implication
9Christophe Fagot, Olivier Gascuel, Patrick Girard 0001, Christian Landrault A Ring Architecture Strategy for BIST Test Pattern Generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Kent L. Einspahr, Shashank K. Mehta, Sharad C. Seth Synthesis of Sequential Circuits with Clock Control to Improve Testability. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Ilker Hamzaoglu, Janak H. Patel Compact two-pattern test set generation for combinational and full scan circuits. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Yiorgos Makris, Alex Orailoglu DFT guidance through RTL test justification and propagation analysis. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Young-Jun Kwon, Ben Mathew, Hong Hao FakeFault: a silicon debug software tool for microprocessor embedded memory arrays. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 939 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license