The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for compaction with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1967-1978 (15) 1979-1982 (16) 1983-1984 (22) 1985-1986 (18) 1987 (18) 1988 (22) 1989 (29) 1990 (36) 1991 (25) 1992 (29) 1993 (34) 1994 (16) 1995 (43) 1996 (33) 1997 (36) 1998 (59) 1999 (50) 2000 (47) 2001 (43) 2002 (50) 2003 (62) 2004 (59) 2005 (64) 2006 (58) 2007 (65) 2008 (69) 2009 (49) 2010 (30) 2011 (32) 2012 (28) 2013 (27) 2014 (28) 2015 (31) 2016 (21) 2017 (20) 2018 (33) 2019 (34) 2020 (39) 2021 (23) 2022 (36) 2023 (38) 2024 (5)
Publication types (Num. hits)
article(590) book(1) incollection(3) inproceedings(889) phdthesis(9)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1030 occurrences of 542 keywords

Results
Found 1492 publication records. Showing 1492 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
134Yoav Ossia, Ori Ben-Yitzhak, Marc Segal Mostly concurrent compaction for mark-sweep GC. Search on Bibsonomy ISMM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF concurrent compaction, incremental compaction, Java, garbage collection, JVM, compaction
115Ahmed A. El Farag, Hatem M. El-Boghdadi, Samir I. Shaheen Improving utilization of reconfigurable resources using two-dimensional compaction. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Pre-emptive tasks, Online placement, Compaction, Partial reconfiguration, Resources utilization
101Ahmed A. El Farag, Hatem M. El-Boghdadi, Samir I. Shaheen Improving utilization of reconfigurable resources using two dimensional compaction. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
101Surendra Bommu, Srimat T. Chakradhar, Kiran B. Doreswamy Static test sequence compaction based on segment reordering and accelerated vector restoration. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
94Diab Abuaiadh, Yoav Ossia, Erez Petrank, Uri Silbershtein An efficient parallel heap compaction algorithm. Search on Bibsonomy OOPSLA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF parallel compaction, java, garbage collection, JVM, compaction, parallel garbage collection
93Krishnendu Chakrabarty Zero-aliasing space compaction using linear compactors with bounded overhead. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
89Toshinori Hosokawa, Toshihiro Hiraoka, Tomoo Inoue, Hideo Fujiwara Static and Dynamic Test Sequence Compaction Methods for Acyclic Sequential Circuits Using a Time Expansion Model. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Time expansion model, acyclic sequential circuit, reverse transformation fault simulation, template, Test sequence compaction
85Slawomir Pilarski, Kevin James Wiebe Counter-based compaction: An analysis for BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF compaction by counter, edge counting, one's counting, transition counting, built-in self-test, linear feedback shift register, signature analysis, Aliasing probability, test response compaction
80Michael S. Hsiao, Srimat T. Chakradhar Test Set Compaction Using Relaxed Subsequence Removal. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF static test set compaction, support sets, recurrence subsequence, ATPG
80Michael S. Hsiao, Srimat T. Chakradhar Test Set and Fault Partitioning Techniques for Static Test Sequence Compaction for Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF static test set compaction, vector-reordering, fault coverage curve, partitioning, ATPG
77Rainer Leupers, Peter Marwedel Time-constrained code compaction for DSPs. Search on Bibsonomy ISSS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF code generation techniques, digital signal processing algorithms, encoding restrictions, exact timing behavior, hard real-time constraints, integer programming model, local code compaction, programmable DSP, rigid heuristics, time-constrained code compaction, real-time systems, timing, integer programming, instruction-level parallelism, source coding, automatic programming, digital signal processing chips, side-effects
75Narayan Vikas Computational Complexity Classification of Partition under Compaction and Retraction. Search on Bibsonomy COCOON The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
75Irith Pomeranz, Sudhakar M. Reddy Improving the Efficiency of Static Compaction Based on Chronological Order Enumeration of Test Sequences. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
75Zhenyu Li, Victor Milenkovic A Compaction Algorithm for Non-Convex Polygons and Its Application. Search on Bibsonomy SCG The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
72Nian-Feng Tzeng, Hsing-Lung Chen Fast Compaction in Hypercubes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF hypercubes, fragmentation, Compaction, disjoint paths, task migration, subcubes
72Michael S. Hsiao, Srimat T. Chakradhar State Relaxation Based Subsequence Removal for Fast Static Compaction in Sequential Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF test set compaction, state relaxation, recurrence subsequence removal, sequential circuit
68Ashish Giani, Shuo Sheng, Michael S. Hsiao, Vishwani D. Agrawal Compaction-based test generation using state and fault information. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF compaction-based test generation, newly-traversed state information, newly-detected fault information, vector compaction iterations, vector sequence bias, biased vectors, compacted test set extension, intelligent vector selection, state analysis, fault diagnosis, fault detection, sequential circuits, sequential circuits, automatic test pattern generation, iterative methods, vectors, fault coverage, circuit analysis computing, fault analysis, benchmark circuits, computing resources, vector generation
68Markus Seuring, Krishnendu Chakrabarty Space Compaction of Test Responses for IP Cores Using Orthogonal Transmission Functions. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF non-modeled faults, one-step compaction, two-step compaction, error detection, transparency, Aliasing
67Sverre Wichlund, Frank Berntsen, Einar J. Aas Scan Test Response Compaction Combined with Diagnosis Capabilities. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Scan compression, Diagnosis, ATPG, Yield, Design for test, ATE
67Bjorn De Sutter, Bruno De Bus, Koenraad De Bosschere, Saumya K. Debray Combining Global Code and Data Compaction. Search on Bibsonomy LCTES/OM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
67Ruifeng Guo, Irith Pomeranz, Sudhakar M. Reddy On Improving Static Test Compaction for Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
67Gunnar W. Klau, Petra Mutzel Optimal Compaction of Orthogonal Grid Drawings. Search on Bibsonomy IPCO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
67Irith Pomeranz, Sudhakar M. Reddy VERSE: A Vector Replacement Procedure for Improving Test Compaction in Synchronous Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
67Krishnendu Chakrabarty, John P. Hayes Test response compaction using multiplexed parity trees. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
67David G. Boyer Symbolic Layout Compaction Review. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
63Aiman H. El-Maleh, Yahya E. Osais Test vector decomposition-based static compaction algorithms for combinational circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Static compaction, class-based clustering, independent fault clustering, test vector decomposition, taxonomy, combinational circuits
63Wen-Ke Chen, Bengu Li, Rajiv Gupta 0001 Code Compaction of Matching Single-Entry Multiple-Exit Regions. Search on Bibsonomy SAS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF single-entry-multiple-exit regions, control flow signature, Code compaction, predicated execution
63Paulo F. Flores, Horácio C. Neto, João P. Marques Silva On Applying Set Covering Models to Test Set Compaction. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Test Set Compaction, Unate Covering Problem, Test Pattern Generation, Set Covering
63Anand Raghunathan, Srimat T. Chakradhar Acceleration techniques for dynamic vector compaction. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Dynamic equivalent and untestable fault analysis, Target fault switching, Support sets, Test compaction, Acceleration Techniques
59Ranganathan Sankaralingam, Rama Rao Oruganti, Nur A. Touba Static Compaction Techniques to Control Scan Vector Power Dissipation. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Static Compaction, Test Vector Compaction, Heat Minimization, Low Power, Built-In Self-Test, Design-for-Testability, Integrated Circuits, switching activity, Scan Chains, Peak power, Embedded Cores, Digital Testing
58Irith Pomeranz, Sudhakar M. Reddy Vector-restoration-based static compaction using random initial omission. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
58Ruifeng Guo, Sudhakar M. Reddy, Irith Pomeranz Reverse-order-restoration-based static test compaction for synchronous sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
58Ori Ben-Yitzhak, Irit Goft, Elliot K. Kolodner, Kean Kuiper, Victor Leikehman An algorithm for parallel incremental compaction. Search on Bibsonomy MSP/ISMM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
58Kwame Osei Boateng, Hideaki Konishi, Tsuneo Nakata A Method of Static Compaction of Test Stimuli. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
58Dimitris Bakalis, Dimitris Nikolos, Haridimos T. Vergos, Xrysovalantis Kavousianos On Accumulator-Based Bit-Serial Test Response Compaction Schemes. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
58Surendra Bommu, Srimat T. Chakradhar, Kiran B. Doreswamy Resource-Constrained Compaction of Sequential Circuit Test Sets. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
58Michael S. Hsiao, Srimat T. Chakradhar Partitioning and Reordering Techniques for Static Test Sequence Compaction of Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
58Rainer Leupers, Peter Marwedel Time-constrained code compaction for DSPs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
58Srimat T. Chakradhar, Anand Raghunathan Bottleneck removal algorithm for dynamic compaction in sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
58Kurt Mehlhorn, Wolfgang Rülling Compaction on the torus [VLSI layout]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
58Mark Harris Extending microcode compaction for real architectures. Search on Bibsonomy MICRO The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
58Y. Eric Cho A subjective review of compaction (tutorial session). Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
55Huaxing Tang, Chen Wang 0014, Janusz Rajski, Sudhakar M. Reddy, Jerzy Tyszer, Irith Pomeranz On Efficient X-Handling Using a Selective Compaction Scheme to Achieve High Test Response Compaction Ratios. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
54Reshma C. Jumani, Niraj Bharatkumar Jain, Virendra Singh, Kewal K. Saluja DX-compactor: distributed X-compaction for SoCs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dx-compactor, hierarchical compactor, x-compactor, SoC, compaction
54Michal Wegiel, Chandra Krintz The mapping collector: virtual memory support for generational, parallel, and concurrent compaction. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel, concurrent, virtual memory, compaction
54Haim Kermany, Erez Petrank The Compressor: concurrent, incremental, and parallel compaction. Search on Bibsonomy PLDI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF garbage collection, memory management, compaction, runtime systems, concurrent garbage collection
54Yinhe Han 0001, Xiaowei Li 0001, Huawei Li 0001, Anshuman Chandra Test Resource Partitioning Based on Efficient Response Compaction for Test Time and Tester Channels Reduction. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test resource partitioning (TRP), error cancellation, System-on-a-Chip (SoC), diagnose, response compaction
54Irith Pomeranz, Sudhakar M. Reddy Static Test Compaction for Full-Scan Circuits Based on Combinational Test Sets and Nonscan Input Sequences and a Lower Bound on the Number of Tests. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Scan circuits, test application time, static test compaction
54Ashish Giani, Shuo Sheng, Michael S. Hsiao, Vishwani D. Agrawal State and Fault Information for Compaction-Based Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF sequential circuits, ATPG, test compaction
54Sandeep Bhatia, Prab Varma Test Compaction in a Parallel Access Scan Environment. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Test Vector Compaction, Design for Testability, Scan
54Wen-Ben Jone, Anita Gleason Analysis of Hamming count compaction scheme. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF index vector, spectral coefficients, Built-in self test, compaction, syndrome
52Jin Ding, David Moloney, Xiaojun Wang 0001 Aliasing-Free Space and Time Compactions with Limited Overhead. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
51Yoshinobu Higami, Yuzo Takamatsu, Kozo Kinoshita Test sequence compaction for sequential circuits with reset states. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reset states, test compaction method, single stuck-at fault assumption, unremovable vectors, fault-dropping fault simulation, nonfault-dropping fault simulation, reset signal, test subsequences, logic testing, fault detection, sequential circuits, sequential circuits, automatic test pattern generation, fault simulation, vectors, logic simulation, logic simulation, benchmark circuits, test vectors, signal detection, test sequence compaction
51Irith Pomeranz, Sudhakar M. Reddy Test Compaction for Synchronous Sequential Circuits by Test Sequence Recycling. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF dynamic test compaction, synchronous sequential circuits, static test compaction
51Sunil R. Das, H. T. Ho, Wen-Ben Jone, Amiya R. Nayak An improved output compaction technique for built-in self-test in VLSI circuits. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF output compaction technique, space compression technique, compaction tree generation, detectable error probability, Boolean difference method, syndrome counter, VLSI, logic testing, probability, built-in self test, built-in self-test, Boolean functions, integrated circuit testing, design for testability, BIST, combinational circuits, combinational circuits, automatic testing, DFT, fault coverage, integrated logic circuits, digital circuits, VLSI circuits, digital integrated circuits
49Bo Cui 0002, DengHua Zhong, Ping Zhang 0006, Lei Liu The Application of Computer Graphic Technology on Monitoring Roller Compaction Quality of Rock-fill Dam. Search on Bibsonomy CGIV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
49Chinnakrishnan S. Ballapuram, Hsien-Hsin S. Lee, Milos Prvulovic Synonymous address compaction for energy reduction in data TLB. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low-power TLB, spatial and temporal locality, multi-porting
49Puqing Chen, Kejing He, Zhaoyao Zhou, Yuanyuan Li The Research on MPC-WS, a Web Service for the Simulation of Metal Powder Compaction Process. Search on Bibsonomy GCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
49Irith Pomeranz, Sudhakar M. Reddy Vector replacement to improve static-test compaction forsynchronous sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
49Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo Static test compaction for synchronous sequential circuits based on vector restoration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
49Ioan Tabus, Corneliu Popeea, Jaakko Astola Optimizing the compaction gain in a class of IIR filters. Search on Bibsonomy ISCAS (3) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
49Youssef Saab An improved linear placement algorithm using node compaction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
49Roberto Bevacqua, Luca Guerrazzi, Fabrizio Ferrandi, Franco Fummi Implicit Test Sequences Compaction for Decreasing Test Application Cos. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
49Jau-Shien Chang, Chen-Shang Lin Test set compaction for combinational circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
49Prabhakar Radge The Parallel Simplicity of Compaction and Chaining. Search on Bibsonomy ICALP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
49Shao-Jun Wei, Jacques Leroy, Raymond Crappe An efficient two-dimensional compaction algorithm for VLSI symbolic layout. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
49Bogong Su, Jian Wang 0046, Jinshi Xia Global microcode compaction under timing constraints. Search on Bibsonomy MICRO The full citation details ... 1988 DBLP  BibTeX  RDF
49M. Al-Suwaiyel, Ellis Horowitz Algorithms for Trie Compaction. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
49Zinaida V. Apanovich, Alexander G. Marchuk Top-Down Approach to Technology Migration for Full-Custom Mask Layouts. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Technology migration, decomposition, compaction, rerouting
46Markus Billeter, Ola Olsson, Ulf Assarsson Efficient stream compaction on wide SIMD many-core architectures. Search on Bibsonomy High Performance Graphics The full citation details ... 2009 DBLP  DOI  BibTeX  RDF stream compaction, GPGPU, CUDA, parallel sorting, prefix sum
46Yinhe Han 0001, Huawei Li 0001, Xiaowei Li 0001, Anshuman Chandra Response compaction for system-on-a-chip based on advanced convolutional codes. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF X bits masking, aliasing, convolutional code, SOC test, response compaction
46Shahin Nazarian, Massoud Pedram, Sandeep K. Gupta 0001, Melvin A. Breuer STAX: statistical crosstalk target set compaction. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compaction degree, fault-producing target, pruning power, safe target, statistical static timing analyzer, ATPG
46Dominique Chanet, Bjorn De Sutter, Bruno De Bus, Ludo Van Put, Koen De Bosschere System-wide compaction and specialization of the linux kernel. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF operating system, compaction, specialization, system calls, linux kernel
46Seiji Kajihara, Masayasu Fukunaga, Xiaoqing Wen, Toshiyuki Maeda, Shuji Hamada, Yasuo Sato Path delay test compaction with process variation tolerance. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF process variation, delay testing, path delay fault, test compaction
46Bhargab B. Bhattacharya, Alexej Dmitriev, Michael Gössel Zero-Aliasing Space Compaction of Test Responses Using a Single Periodic Output. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Space compaction, testing, stuck-at faults, system-on-a-chip
46Irith Pomeranz, Sudhakar M. Reddy Enumeration of Test Sequences in Increasing Chronological Order to Improve the Levels of Compaction Achieved by Vector Omission. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF synchronous sequential circuits, Static test compaction
46Kohei Miyase, Seiji Kajihara, Sudhakar M. Reddy A Method of Static Test Compaction Based on Don't Care Identification. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Coloring Problem, Don't Care Identification, ATPG, Static Test Compaction
46Saumya K. Debray, William S. Evans, Robert Muth, Bjorn De Sutter Compiler techniques for code compaction. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF code compression, code size reduction, code compaction
46Irith Pomeranz, Sudhakar M. Reddy Test-Point Insertion to Enhance Test Compaction for Scan Designs. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Scan design, test-point insertion, static test compaction
46Toshiyuki Maeda, Kozo Kinoshita Memory reduction of IDDQ test compaction for internal and external bridging faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF I/sub DDQ/ test compaction, internal bridging faults, external bridging faults, IDDQ test sequence, reassignment method, weighted random sequences, logic testing, integrated circuit testing, sequential circuits, sequential circuits, automatic testing, fault simulation, CMOS logic circuits, CMOS circuits, test application time reduction, memory reduction
46Irith Pomeranz, Sudhakar M. Reddy On Test Compaction Objectives for Combinational and Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF combinational circuits synchronous sequential circuits test compaction tester storage schemes tester memory requirements
46Irith Pomeranz, Sudhakar M. Reddy On the Compaction of Test Sets Produced by Genetic Optimization. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF test generation, test compaction, genetic optimization, n-detection test sets
46Sudhakar M. Reddy, Irith Pomeranz, Seiji Kajihara On the effects of test compaction on defect coverage. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF surrogate faults, fault diagnosis, test generation, integrated circuit testing, fault modeling, test sets, test compaction, defect coverage
46Irith Pomeranz, Sudhakar M. Reddy Static compaction for two-pattern test sets. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF two-pattern test sets, static compaction procedure, test set size reduction, redundant tests removal, redundant patterns removal, CMOS stuck open faults, reordering of tests, digital logic circuits, fault diagnosis, logic testing, delays, built-in self test, integrated circuit testing, ATPG, combinational circuits, combinational circuits, automatic testing, fault coverage, CMOS logic circuits, delay faults
46Paolo Ienne Horizontal Microcode Compaction for Programmable Systolic Accelerators. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Horizontal Microcode, Microcode Compaction, Programmable Systolic Arrays, Neural Networks
46Toshio Nakatani, Kemal Ebcioglu Making Compaction-Based Parallelization Affordable. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF compaction-based parallelization, code explosion problem, software lookahead heuristic, VLIW parallelizing compiler, branch-intensive code, AIX utilities, fgrep, sed, parallel programming, parallel architectures, compress, program, sort, instruction-level parallelism, software pipelining, pipeline processing, instruction sets, loop parallelization, yacc
45Irith Pomeranz, Sudhakar M. Reddy Reducing test application time for full scan circuits by the addition of transfer sequences. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF transfer sequences, primary input vectors, scan-in operation, scan-out operation, static compaction procedure, compaction levels, fault diagnosis, logic testing, design for testability, fault detection, automatic testing, boundary scan testing, test set, test application time, full scan circuits
43Qianying Tang, Jianwen Zhu Two-Dimensional Layout Migration by Soft Constraint Satisfaction. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Faith E. Fich, Miroslaw Kowaluk, Krzysztof Lorys, Miroslaw Kutylowski, Prabhakar Ragde Retrieval of scattered information by EREW, CREW and CRCW PRAMs. Search on Bibsonomy SWAT The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
43David Marple A Hierarchy Preserving Hierarchical Compactor. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
43Jingsheng Cong, D. F. Wong 0001 How to Obtain More Compactable Channel Routing Solutions. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
41Po-Han Wu, Tsung-Tang Chen, Wei-Lin Li, Jiann-Chyi Rau An efficient test-data compaction for low power VLSI testing. Search on Bibsonomy EIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada OPC-Friendly De-Compaction with Timing Constraints for Standard Cell Layouts. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Chunsheng Liu, Yu Huang 0005 Effects of Embedded Decompression and Compaction Architectures on Side-Channel Attack Resistance. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Andre Tkacenko, P. P. Vaidyanathan On the spectral factor ambiguity of FIR energy compaction filter Banks. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Irith Pomeranz, Sudhakar M. Reddy Test compaction for transition faults under transparent-scan. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Jing Wang 0006, Xiang Lu, Wangqi Qiu, Ziding Yue, Steve Fancler, Weiping Shi, D. M. H. Walker Static Compaction of Delay Tests Considering Power Supply Noise. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Ozgur Sinanoglu, Alex Orailoglu Compaction Schemes with Minimum Test Application Time. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
41Youcef Bourai, C.-J. Richard Shi Layout Compaction for Yield Optimization via Critical Area Minimization. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
41Rei Oguro, Kazuhiko Ozeki, Kazuyuki Takagi, Yujie Zhang An Efficient Algorithm for Japanese Sentence Compaction Based on Phrase Importance and Inter-Phrase Dependency. Search on Bibsonomy TSD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 1492 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license