The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for latches with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1991 (16) 1992-1994 (20) 1995 (19) 1996 (18) 1997-1998 (22) 1999 (24) 2000-2001 (23) 2002 (18) 2003 (25) 2004 (28) 2005 (25) 2006 (27) 2007 (34) 2008 (25) 2009-2010 (20) 2011-2013 (18) 2014-2016 (15) 2017-2018 (17) 2019-2023 (19) 2024 (1)
Publication types (Num. hits)
article(124) book(1) inproceedings(287) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 369 occurrences of 249 keywords

Results
Found 414 publication records. Showing 414 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
98Chen-Hsuan Lin, Chun-Yao Wang Dependent latch identification in the reachable state space. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
66Carl Ebeling, Brian Lockyear On the performance of level-clocked circuits. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF level-clocked circuits, level-sensitive latches, timing, synchronisation, flip-flops, clocks, retiming, clock skew, clock period, pipelined circuits
66Maryam Shojaei Baghini, Madhav P. Desai Impact of Technology Scaling on Metastability Performance of CMOS Synchronizing Latches. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF CMOS latches, Technology Scaling, Metastability
65Sandeep Bhatia, Niraj K. Jha Synthesis for parallel scan: applications to partial scan and robust path-delay fault testability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
65V. Seth, Min Zhao 0001, Jiang Hu Exploiting level sensitive latches in wire pipelining. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
55Kei-Yong Khoo, Alan N. Willson Jr. Single-transistor transparent-latch clocking. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF transparent-latch clocking, single-phase clocking scheme, CMOS VLSI designs, single NMOS transistor, allowable width, clock driver, dynamic buffer, architecture-driven voltage scaling, pipelining latches, latch-intensive architectures, filter structures, transposed-form FIR filter, VLSI, flip-flops, clocks, integrated circuit design, digital filters, FIR filters, power dissipation, CMOS digital integrated circuits
55Samy Makar, Edward J. McCluskey Checking experiments to test latches. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF exhaustive functional tests, 2-state latches, minimum-length checking, D-latch, HSpice implementation, transmission gate latch, detectable shorted interconnects, open interconnects, short-to-power faults, short-to-ground faults, pin fault test set, multiplexer-based test set, sequential elements, 2-state state machines, simulation, fault diagnosis, logic testing, finite state machines, integrated circuit testing, sequential circuits, CMOS, circuit analysis computing, CMOS logic circuits, SPICE, stuck open faults, checking experiments, stuck-on faults
54Yi-Lin Chuang, Sangmin Kim, Youngsoo Shin, Yao-Wen Chang Pulsed-latch aware placement for timing-integrity optimization. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF pulsed latch, placement, physical design
54Gurhan Kucuk, Dmitry Ponomarev 0001, Oguz Ergin, Kanad Ghose Reducing reorder buffer complexity through selective operand caching. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-complexity datapath, short-lived values, low-power design, reorder buffer
54Sorin Cotofana, Stamatis Vassiliadis delta-Bit serial binary addition with linear threshold networks. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
54Yibo Chen, Yuan Xie 0001 Tolerating process variations in high-level synthesis using transparent latches. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
54Victor V. Zyuban Optimization of scannable latches for low energy. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
54Woo-Seung Yang, In-Cheol Park, Chong-Min Kyung Low-power high-level synthesis using latches. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
44Masayuki Tsukisaka, Takashi Nanya A testable design for asynchronous fine-grain pipeline circuits. Search on Bibsonomy PRDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF asynchronous fine-grain pipeline circuits, dynamic gates, high-performance datapath design, pipeline latches, scan latch libraries, logic testing, logic CAD, SPICE, CMOS technology, scan path, SPICE simulation, testable design
44David A. Kearney, Neil W. Bergmann Performance evaluation of asynchronous logic pipelines with data dependent processing delays. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous logic pipelines, data dependent processing delays, logic stages, data dependent delay, two valued random variable, performance evaluation, performance evaluation, asynchronous circuits, pipeline processing, latches
44S. Dabas, Ning Dong 0002, Jaijeet S. Roychowdhury Automated Extraction of Accurate Delay/Timing Macromodels of Digital Gates and Latches using Trajectory Piecewise Methods. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gate delay modelling, accurate delay/timing macromodels, digital gates, trajectory-piecewise automated nonlinear macromodelling methods, mixed-signal/RF domain, SPICE-level netlists, transparent retargetability, NAND gates, NOR gates, sequential latch, latches, full adder, current-source models, XOR gates
43Chuan Lin 0002, Hai Zhou 0001 Tradeoff Between Latch and Flop for Min-Period Sequential Circuit Designs With Crosstalk. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Kwen-Siong Chong, Bah-Hwee Gwee, Joseph Sylvester Chang A micropower low-voltage multiplier with reduced spurious switching. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Hadi Esmaeilzadeh, Saeed Shamshiri, Pooya Saeedi, Zainalabedin Navabi ISC: Reconfigurable Scan-Cell Architecture for Low Power Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
43Li-Hsun Chen, Oscal T.-C. Chen A low-complexity and high-speed Booth-algorithm FIR architecture. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
43Rajeev K. Ranjan 0001, Vigyan Singhal, Fabio Somenzi, Robert K. Brayton Using Combinational Verification for Sequential Circuits. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
43Antonio Zenteno Ramírez, Guillermo Espinosa, Víctor H. Champac Design-for-Test Techniques for Opens in Undetected Branches in CMOS Latches and Flip-Flops. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Mahmoud Lotfi Anhar, Mohammad Ali Jabraeil Jamali The Optimum Location of Delay Latches Between Dynamic Pipeline Stages. Search on Bibsonomy APPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Minimum, MAL, Pipeline, Latency, Collision, Table, Reservation, Latch, Average
43Tiberiu Chelcea, Girish Venkataramani, Seth Copen Goldstein Self-Resetting Latches for Asynchronous Micro-Pipelines. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
43Eslam Yahya, Marc Renaudin QDI Latches Characteristics and Asynchronous Linear-Pipeline Performance Analysis. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Ko Yoshikawa, Yasuhiko Hagihara, Keisuke Kanamaru, Yuichi Nakamura 0002, Shigeto Inui, Takeshi Yoshimura Timing optimization by replacing flip-flops to latches. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Chirayu S. Amin, Florentin Dartu, Yehea I. Ismail Modeling unbuffered latches for timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Soha Hassoun Optimal use of 2-phase transparent latches in buffered maze routing. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43Antonio Zenteno, Víctor H. Champac Resistive Opens in a Class of CMOS Latches: Analysis and DFT. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
43Larry Fenstermaker, Ilyoung Kim, Jim L. Lewandowski, Jeffrey J. Nagy Built In Self Test for Ring Addressed FIFOs with Transparent Latches. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Built In Self Test, Memory testing, Embedded memories
43Jin-Fuw Lee, Donald T. Tang, Chak-Kuen Wong A timing analysis algorithm for circuits with level-sensitive latches. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
43Timothy M. Burks, Karem A. Sakallah, Trevor N. Mudge Critical paths in circuits with level-sensitive latches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
43Timothy M. Burks, Karem A. Sakallah Optimization of critical paths in circuits with level-sensitive latches. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
43Jin-Fuw Lee, Donald T. Tang, C. K. Wong A timing analysis algorithm for circuits with level-sensitive latches. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
33Malay K. Ganai, Aarti Gupta Efficient BMC for Multi-Clock Systems with Clocked Specifications. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF OpenCores multiclock system benchmarks, clocked specifications, multiphased clocks, level-sensitive latches, SAT-based bounded model checking, synchronous multiclock systems, clocked LTL properties, clock modeling schemes, clock constraints, loop-checks, gated clocks
33Il-soo Lee, Tony Ambler Two efficient methods to reduce power and testing time. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF reordering scan latches, scan architecture, power, testing time
33I. Thoidis, Dimitrios Soudris, Ioannis Karafyllidis, Adonios Thanailakis, Thanos Stouraitis Multiple-Valued Logic Voltage-Mode Storage Circuits Based On True-Single-Phase Clocked Logic. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF voltage-mode latches, voltage-mode master-slave, true-single phase clocked-logic, Multiple-Valued Logic
33Hong-Yean Hsieh, Wentai Liu, Ralph K. Cavin III, C. Thomas Gray Concurrent timing optimization of latch-based digital systems. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF concurrent timing optimization, latch-based digital systems, digital system timing, intentional clock skew, latch-based designed systems, multi-phase clocking, resynchronization, latches insertion, optimisation, timing, logic design, flip-flops, retiming, mixed integer linear program, race conditions, integrated framework, wave pipelining, hazards and race conditions, clock period
33Jae-Tack Yoo, Ganesh Gopalakrishnan, Kent F. Smith, V. John Mathews High speed counterflow-clocked pipelining illustrated on the design of HDTV subband vector quantizer chips. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF counterflow-clocked pipelining, HDTV subband vector quantizer chips, clock skew problems, back-propagating clock signals, high speed clocks, dynamic latches, composition rules, two-dimensional data-flow, image compression chip set, subband VQ, VLSI, timing, image coding, pipeline processing, digital signal processing chips, vector quantisation, telecommunication computing, clock distribution, VLSI chips, high definition television
33José Monteiro 0001, John Rinderknecht, Srinivas Devadas, Abhijit Ghosh Optimization of combinational and sequential logic circuits for low power using precomputation. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential logic circuits, low power optimisation, logic optimization technique, precomputation architectures, logic synthesis methods, transmission gates, transparent latches, switching activity reduction, power dissipation reduction, VLSI, logic design, sequential circuits, combinational circuits, integrated circuit design, CMOS logic circuits, circuit optimisation, precomputation, combinational logic circuits, clock cycle
33Alexander Saldanha, Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Functional clock schedule optimization. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF clock schedule optimization, time frames, level-sensitive sequential circuits, scheduling, delays, delays, timing, sequential circuits, flip-flops, clocks, circuit optimisation, latches, false paths
33Saihua Lin, Huazhong Yang, Rong Luo A New Family of Sequential Elements With Built-in Soft Error Tolerance for Dual-VDD Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Yoichi Sasaki 0001, Kazuteru Namba, Hideo Ito Circuit and Latch Capable of Masking Soft Errors with Schmitt Trigger. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Masking circuit, Pass transistor, Schmitt trigger circuit, Soft error, Latch
33Hyein Lee 0003, Seungwhun Paik, Youngsoo Shin Pulse width allocation with clock skew scheduling for optimizing pulsed latch-based sequential circuits. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Michael Nicolaidis, Renaud Perez, Dan Alexandrescu Low-Cost Highly-Robust Hardened Cells Using Blocking Feedback Transistors. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF radiation hardened cells, soft errors, SEUs
33Sanjit A. Seshia, Wenchao Li 0001, Subhasish Mitra Verification-guided soft error resilience. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33Roystein Oliveira, Aditya Jagirdar, Tapan J. Chakraborty A TMR Scheme for SEU Mitigation in Scan Flip-Flops. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33Yoichi Sasaki 0001, Kazuteru Namba, Hideo Ito Soft Error Masking Circuit and Latch Using Schmitt Trigger Circuit. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Himanshu Jain, Daniel Kroening, Natasha Sharygina, Edmund M. Clarke Word level predicate abstraction and refinement for verifying RTL verilog. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SAT, predicate abstraction, verilog
33Soonhak Kwon A Low Complexity and a Low Latency Bit Parallel Systolic Multiplier over GF(2m) Using an Optimal Normal Basis of Type II. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
33Nicola Nicolici, Bashir M. Al-Hashimi Multiple Scan Chains for Power Minimization during Test Application in Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Digital systems testing, design for test, low power circuits
33Gurhan Kucuk, Dmitry Ponomarev 0001, Kanad Ghose Low-complexity reorder buffer architecture. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF low-complexity datapath, low-power design, reorder buffer
33Victor V. Zyuban, Stephen V. Kosonocky Low power integrated scan-retention mechanism. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF balloon latch, data retention, low power, scan, leakage, latch, MTCMOS, subthreshold
33Nicola Nicolici, Bashir M. Al-Hashimi Scan Latch Partitioning into Multiple Scan Chains for Power Minimization in Full Scan Sequential Circuits. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
33Naresh Maheshwari, Sachin S. Sapatnekar Optimizing large multiphase level-clocked circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Chuan-Hua Chang, Edward S. Davidson, Karem A. Sakallah Maximum rate single-phase clocking of a closed pipeline including wave pipelining, stoppability, and startability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
33Hervé J. Touati, Robert K. Brayton Computing the initial states of retimed circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
33Abhijit Ghosh, Srinivas Devadas, A. Richard Newton Verification of Interacting Sequential Circuits. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
32Sherif A. Tawfik, Volkan Kursun Characterization of New Static Independent-Gate-Biased FinFET Latches and Flip-Flops under Process Variations. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Lizheng Zhang, Jeng-Liang Tsai, Weijen Chen, Yuhen Hu, Charlie Chung-Ping Chen Convergence-provable statistical timing analysis with level-sensitive latches and feedback loops. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Srivathsan Krishnamohan, Nihar R. Mahapatra Analysis and design of soft-error hardened latches. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiple-upset, single-event, soft errors, single-event upset, latch, radiation hardening
32Payam Heydari, Ravindran Mohanavelu Design of ultrahigh-speed low-voltage CMOS CML buffers and latches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Payam Heydari, Ravindran Mohanavelu Design of ultra high-speed CMOS CML buffers and latches. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Raúl Jiménez, Pilar Parra Fernández, Pedro Sanmartín, Antonio J. Acosta 0001 A Technique to Generate CMOS VLSI Flip-Flops Based on Differential Latches. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Prashant Saxena, Peichen Pan, C. L. Liu 0001 The Retiming of Single-Phase Clocked Circuits Containing Level-Sensitive Latches. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
32Vladimir Stojanovic, Vojin G. Oklobdzija, Raminder Singh Bajwa A unified approach in the analysis of latches and flip-flops for low-power systems. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF master-slave latch, optimization, timing, flip-flop, power measurement
32Samy Makar, Edward J. McCluskey ATPG for scan chain latches and flip-flops. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF bistable element, scan chain circuit, combinational defect detection, algorithm, ATPG, automatic test pattern generation, automatic testing, stuck-at fault, flip-flop, latch, checking experiment
32Michel R. Dagenais, Nicholas C. Rumin On the calculation of optimal clocking parameters in synchronous circuits with level-sensitive latches. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
22Vigyan Singhal, Carl Pixley, Adnan Aziz, Shaz Qadeer, Robert K. Brayton Sequential optimization in the absence of global reset. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Sequential logic synthesis, no-reset latches, safe replaceability
22James W. Tschanz, Siva G. Narendra, Zhanping Chen, Shekhar Borkar, Manoj Sachdev, Vivek De Comparative delay and energy of single edge-triggered & dual edge-triggered pulsed flip-flops for high-performance microprocessors. Search on Bibsonomy ISLPED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF dual edge, low power, flip-flops, clocking, triggered, latches
22Peter Kornerup A Systolic, Linear-Array Multiplier for a Class of Right-Shift Algorithms. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF systolic linear-array multiplier, right-shift algorithms, multiplier cell, digit-product terms, least significant digit first, active elements, module-multiplier, Montgomery module-reduction, RSA encryption, modular division, cryptography, logic design, systolic arrays, systolic array, digital arithmetic, multiplying circuits, latches, modular inverses, Hensel codes, digit-serial multiplier
22Jacob Savir, William H. McAnney Random Pattern Testability of Delay Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF combinational logic networks, logic testing, delay faults, combinatorial circuits, latches, random pattern testability, system clocks
22Stephen H. Unger, Chung-Jen Tan Clocking Schemes for High-Speed Digital Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF clock pulses, edge-triggered flip-flops, edge tolerances, one-phase clocking, delays, timing, Clocking, digital systems, skew, latches, synchronous circuits
22Theja Tulabandhula, Yujendra Mitikiri A 20MS/s 5.6 mW 6b Asynchronous ADC in 0.6µm CMOS. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Balaji V. Iyer, Jason A. Poovey, Thomas M. Conte Energy-aware opcode design. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Vikas Chandra, Robert C. Aitken Impact of Technology and Voltage Scaling on the Soft Error Susceptibility in Nanoscale CMOS. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Shuangyu Ruan, Kazuteru Namba, Hideo Ito Soft Error Hardened FF Capable of Detecting Wide Error Pulse. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Martin Omaña 0001, Daniele Rossi 0001, Cecilia Metra Latch Susceptibility to Transient Faults and New Hardening Approach. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Static Latch, Hardened Latch, Soft Errors, Transient Faults, Robust Design
22Eric L. Hill, Mikko H. Lipasti Transparent mode flip-flops for collapsible pipelines. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Himanshu Thapliyal, A. Prasad Vinod 0001 Design of Reversible Sequential Elements With Feasibility of Transistor Implementation. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Armin Alaghi, Naghmeh Karimi, Mahshid Sedghi, Zainalabedin Navabi Online NoC Switch Fault Detection and Diagnosis Using a High Level Fault Mode. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Man Chung Hon Spec-based flip-flop and latch repeater planning. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Manan Syal, Michael S. Hsiao, Suriyaprakash Natarajan, Sreejit Chakravarty Untestable Multi-Cycle Path Delay Faults in Industrial Designs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Li-Hsun Chen, Oscal T.-C. Chen A hardware-efficient FIR architecture with input-data and tap folding. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Gurhan Kucuk, Dmitry Ponomarev 0001, Oguz Ergin, Kanad Ghose Complexity-Effective Reorder Buffer Designs for Superscalar Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Jason Baumgartner, Tamir Heyman, Vigyan Singhal, Adnan Aziz An Abstraction Algorithm for the Verification of Level-Sensitive Latch-Based Netlists. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF phase abstraction, automatic abstraction, CTL model checking, level-sensitive latch, bisimulation, model reduction
22Frank te Beest, Ad M. G. Peeters, Kees van Berkel 0001, Hans G. Kerkhoff Synchronous Full-Scan for Asynchronous Handshake Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF L1L2*, DFT, asynchronous circuits, scan design, LSSD
22Gurhan Kucuk, Oguz Ergin, Dmitry Ponomarev 0001, Kanad Ghose Energy Efficient Register Renaming. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Soonhak Kwon, Chang Hoon Kim, Chun Pyo Hong A systolic multiplier with LSB first algorithm over GF(2m) which is as efficient as the one with MSB first algorithm. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Ching-Hwa Cheng Design Scan Test Strategy for Single Phase Dynamic Circuits. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Hans M. Jacobson, Prabhakar Kudva, Pradip Bose, Peter W. Cook, Stanley Schuster Synchronous Interlocked Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF progressive stalls, synchronous, Pipeline, asynchronous, clock gating, elastic, interlocked
22Chiou-Yng Lee, Erl-Huei Lu, Jau-Yien Lee Bit-Parallel Systolic Multipliers for GF(2m) Fields Defined by All-One and Equally Spaced Polynomials. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF AOP, finite field, Bit-parallel systolic multiplier, ESP
22Nobuo Funabiki, Amit Singh 0001, Arindam Mukherjee 0001, Malgorzata Marek-Sadowska A Global Routing Technique for Wave-Steering Design Methodology. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Peter-Michael Seidel, Guy Even On the Design of Fast IEEE Floating-Point Adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Frank Grassert, Dirk Timmermann Dynamic single phase logic with self-timed stages for power reduction in pipeline circuit designs. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Oscal T.-C. Chen, Wei-Lung Liu An FIR processor with programmable dynamic data ranges. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Jason Baumgartner, Tamir Heyman, Vigyan Singhal, Adnan Aziz Model Checking the IBM Gigahertz Processor: An Abstraction Algorithm for High-Performance Netlists. Search on Bibsonomy CAV The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Bernhard Korte How Long Does a Bit Live in a Computer? (abstract). Search on Bibsonomy ESA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Luca P. Carloni, Kenneth L. McMillan, Alexander Saldanha, Alberto L. Sangiovanni-Vincentelli A methodology for correct-by-construction latency insensitive design. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Christoph Albrecht, Bernhard Korte, Jürgen Schietke, Jens Vygen Cycle time and slack optimization for VLSI-chips. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 414 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license