The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase programmable-logic (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1975-1979 (15) 1980-1983 (23) 1984-1985 (16) 1986-1987 (18) 1988 (17) 1989-1990 (25) 1991-1992 (43) 1993-1994 (83) 1995 (70) 1996 (66) 1997 (67) 1998 (93) 1999 (93) 2000 (126) 2001 (104) 2002 (159) 2003 (186) 2004 (230) 2005 (198) 2006 (242) 2007 (211) 2008 (195) 2009 (171) 2010 (121) 2011 (116) 2012 (164) 2013 (158) 2014 (146) 2015 (118) 2016 (126) 2017 (127) 2018 (103) 2019 (92) 2020 (86) 2021 (106) 2022 (105) 2023 (82) 2024 (8)
Publication types (Num. hits)
article(328) book(2) data(1) incollection(11) inproceedings(3723) phdthesis(13) proceedings(31)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 931 occurrences of 504 keywords

Results
Found 4109 publication records. Showing 4109 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
65T. Utsumi, Naotake Kamiura, Yutaka Hata, Kazuharu Yamato Multiple-Valued Programmable Logic Arrays with Universal Literals. Search on Bibsonomy ISMVL The full citation details ... 1997 DBLP  DOI  BibTeX  RDF universal literals, multiple-valued programmable logic, universal literal generators, operator structures, programmable logic arrays, programmable logic arrays
62Noha Kafafi, Kimberly A. Bozman, Steven J. E. Wilton Architectures and algorithms for synthesizable embedded programmable logic cores. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF programmable logic cores, FPGA, standard cells, system-on-chip design
55André DeHon, Michael J. Wilson Nanowire-based sublithographic programmable logic arrays. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sublithographic architecture, programmable logic arrays, nanowires
54David Ashen, Fred J. Meyer, Nohpill Park, Fabrizio Lombardi Testing of programmable logic devices (PLD) with faulty resources. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF faulty resources, routing resources, built-in self-test schemes, parity chain, one-dimensional arrays, active routing devices, interconnection channels, input/output lines, logic testing, fault model, fault coverage, multiple faults, programmable logic devices, programmable logic devices
52André DeHon Design of programmable interconnect for sublithographic programmable logic arrays. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Manhattan mesh, sublithographic architecture, programmable logic arrays, nanowires, programmable interconnect
50Peter Hallschmid, Steven J. E. Wilton Detailed routing architectures for embedded programmable logic IP cores. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF FPGA, programmable logic, detailed routing, SoC design, embedded cores
50Bill Carter The Evolution of Programmable Logic: Past, Present, and Future Predictions [Abstract]. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
46Uwe Meyer-Bäse, Antonio García 0001, Fred J. Taylor Implementation of a Communications Channelizer using FPGAs and RNS Arithmetic. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF field-programmable logic (FPL), complex programmable logic devices (CPLD), zero-IF filter, field programmable gate array (FPGA), digital signal processing (DSP), residue number system (RNS), channelizer
45Charles Hsu Future Prospective of Programmable Logic Non-volatile Device. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44John Y. Oliver, Venkatesh Akella Improving DSP Performance with a Small Amount of Field Programmable Logic. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43Andy Yan, Steven J. E. Wilton Product-Term-Based Synthesizable Embedded Programmable Logic Cores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Laurent Fesquet, Bertrand Folco, M. Steiner, Marc Renaudin State-holding in Look-Up Tables: application to asynchronous logic. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Lizy Kurian John VaWiRAM: a variable width random access memory module. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF VaWiRAM, variable width random access memory, flexible memory systems, configuration pins, pass gates, reconfigurability, reconfigurable architectures, memory architecture, programmable logic, random-access storage, integrated circuit interconnections, programmable logic devices, programmable interconnect
41Santonu Sarkar, Anupam Basu, Arun K. Majumdar Representation and Synthesis of Interface of a Circuit for its Reuse. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF VaWiRAM, variable width random access memory, flexible memory systems, configuration pins, pass gates, reconfigurability, reconfigurable architectures, memory architecture, programmable logic, random-access storage, integrated circuit interconnections, programmable logic devices, programmable interconnect
40Aneesh Koorapaty, Lawrence T. Pileggi Modular, Fabric-Specific Synthesis for Programmable Architectures. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Branka Medved Rogina, Karolj Skala, Bozidar Vojnovic Metastability Characteristics Testing for Programmable Logic Design. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
40Abdellah Touhafi, Wouter Brissinck, Erik F. Dirkx The Implementation of a Field Programmable Logic Based Co-Processor for the Acceleration of Discrete Event Simulators. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
40C. P. Cowen, S. Monaghan Performance Characteristics of the Monte-Carlo Clustering Processor (MCCP) - a Field Programmable Logic- based Custom Computing Machine. Search on Bibsonomy FPL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
40Nigel Toon Reconfigurable Hardware from Programmable Logic Devices. Search on Bibsonomy FPL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
40Nigel Toon Continuous Interconnect Provides Solution to Density/Performance Trade-Off in Programmable Logic. Search on Bibsonomy FPL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
39Mostafa I. H. Abd-El-Barr, Muhammad Nayyar Hasan New MVL-PLA Structures Based on Current-Mode CMOS Technology. Search on Bibsonomy ISMVL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF MVL-PLA structures, current-mode CMOS technology, PLA structures, min, tsum, constants, r-valued one-variable functions, type-C PLA, type-A PLA, type-B PLA, cyclic generator blocks, programmable logic arrays, programmable logic arrays, CMOS logic circuits, cycle, multivalued logic circuits, multivalued logic circuits, current-mode logic
37Charles E. Stroud, James R. Bailey, Johan R. Emmert A New Method for Testing Re-Programmable PLAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF electrically erasable programmable logic array testing, manufacturing test development, bridging faults
37Mandyam-Komar Srinivas, Vishwani D. Agrawal, Michael L. Bushnell Functional test generation for path delay faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF growth faults, disappearance faults, robustly detectable path delay faults, two-level circuit, algebraic transformations, generated vectors, algebraically factored multilevel circuit, scan/hold versions, ISCAS89 circuits, fault diagnosis, logic testing, delays, timings, fault coverages, fault location, programmable logic arrays, programmable logic arrays, PLA, multivalued logic, path delay faults, functional test generation, stuck faults
37Giby Samson, Lawrence T. Clark Circuit architecture for low-power race-free programmable logic arrays. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF circuit timing, low power, programmable logic arrays
35Peter Zipf, Manfred Glesner, Christine Bauer 0002, Hans Wojtkowiak Handling FPGA Faults and Configuration Sequencing Using a Hardware Extension. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Javier Ramírez 0001, Antonio García 0001, Uwe Meyer-Bäse, Fred J. Taylor, Antonio Lloris-Ruíz Implementation of RNS-Based Distributed Arithmetic Discrete Wavelet Transform Architectures Using Field-Programmable Logic. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF field-programmable logic, digital signal processing, discrete wavelet transform, residue number system, distributed arithmetic
35Michael D. Hutton Interconnect prediction for programmable logic devices. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF interconnect prodiction, wireability, architecture, programmable logic device
35Seungkweon Jeong, Young Shin Kim, Wook Hyun Kwon Scheduling algorithm for programmable logic controllers with remote I/Os. Search on Bibsonomy RTCSA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF remote I/O, remote input output, sequence programs, application processor, bounded response time, scheduling algorithm, network processor, computer simulation, multitasking, data transmission, programmable logic controllers, PLC, programmable controllers
35D. M. Marcynuk, D. Michael Miller The OR-k method for on-line checking of programmable logic arrays. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF fault secure design, on-line checking, concurrency, programmable logic array
35Bradley R. Quinton, Steven J. E. Wilton Embedded Programmable Logic Core Enhancements for System Bus Interfaces. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Gordon J. Brebner Multithreading for Logic-Centric Systems. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Yahiko Kambayashi Logic Design of Programmable Logic Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF two-level circuit, Incompletely specified logic function, reduction of the number of inputs, logic design, programmable logic array, multiple-output function
33Valavan Manohararajah, Terry P. Borer, Stephen Dean Brown, Zvonko G. Vranesic Automatic Partitioning for Improved Placement and Routing in Complex Programmable Logic Devices. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Stephen J. Melnikoff, Steven F. Quigley, Martin J. Russell Implementing a Hidden Markov Model Speech Recognition System in Programmable Logic. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Gordon J. Brebner Field-Programmable Logic: Catalyst for New Computing Paradigms. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
32Christian Siemers, Volker Winterstein Modelling Programmable Logic Devices and Reconfigurable, Microprocessor-Related Architectures. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Gordon J. Brebner Programmable Logic Has More Computational Power than Fixed Logic. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Philip James-Roxby, Gordon J. Brebner Multithreading in a Hyper-programmable Platform for Networked Systems. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Henry Selvaraj, Mariusz Rawski, Tadeusz Luba FSM Implementation in Embedded Memory Blocks of Programmable Logic Devices Using Functional Decomposition. Search on Bibsonomy ITCC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF programmable read only memory, Boolean functions, implementation, digital circuits, sequential machines, logic minimization
31Tom Kean It's FPL, Jim - But Not as We Know It! Opportunities for the New Commercial Architectures. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Kiyoshi Oguri, Norbert Imlig, Hideyuki Ito, Kouichi Nagami, Ryusuke Konishi, Tsunemichi Shiozawa General-Purpose Computer Architecture Based on Fully Programmable Logic. Search on Bibsonomy ICES The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
31A. Abo Shosha, P. Reinhart, F. Rongen Reconfigurable PCI-Bus Interface (RPCI). Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Jing-Jou Tang, Kuen-Jong Lee, Bin-Da Liu A graph representation for programmable logic arrays to facilitate testing and logic design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Yu Hu 0002, Satyaki Das, Steven Trimberger, Lei He 0001 Design and Synthesis of Programmable Logic Block With Mixed LUT and Macrogate. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Paul Metzgen A high performance 32-bit ALU for programmable logic. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ALU, Apex 20KE, Nios, FPGA, programmable logic, soft processors
28Dieter Spath, Ulf Osmers Virtual Reality - An Approach to Improve the Generation of Fault-Free Software for Programmable Logic Controllers (PLC). Search on Bibsonomy ICECCS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fault free software, instruction list, ladder diagram, virtual reality, programmable logic controllers, programmable controllers, low-level languages
28Parthasarathy P. Tirumalai, Jon T. Butler Minimization Algorithms for Multiple-Valued Programmable Logic Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF minimisation algorithms, multiple-valued programmable logic arrays, sum-of products, MIN operation, random-symmetric functions, constrained implicant sets, charge-coupled device circuits, performance, CMOS, heuristic algorithms, many-valued logics, minimisation, CMOS integrated circuits, backtracking, logic arrays, tree search, multiple-valued functions, charge-coupled device
28James Jacob, Nripendra N. Biswas Further Comments on "Detection of Faults in Programmable Logic Arrays". Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF fault detection, fault location, programmable logic arrays, logic arrays
28Saied Bozorgui-Nesbat, Edward J. McCluskey Lower Overhead Design for Testability of Programmable Logic Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF test generation for PLA's, PLA testing, Design for testability, programmable logic arrays (PLA)
28Hao-Yung Lo, Yoshinao Aoki Generation of a Precise Binary Logarithm with Difference Grouping Programmable Logic Array. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF ROM's, Arithmetic units error correction, binary antilogarithm generation, binary logarithm generation, differential group programmable logic arrays (DGPLA's), PLA's
28Kewal K. Saluja, Kozo Kinoshita, Hideo Fujiwara An Easily Testable Design of Programmable Logic Arrays for Multiple Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF stuck-type faults, Cross-point faults, easily testable design, programmable logic arrays, multiple faults
28Hideo Fujiwara, Kozo Kinoshita A Design of Programmable Logic Arrays with Universal Tests. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF Easily testable design, programmable logic arrays (PLA's), fault detection, fault location, logic circuits, universal test sets
28Wilfried Daehn, Joachim Mucha A Hardware Approach to Self-Testing of Large Programmable Logic Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF programmable logic array (PLA), Built-in test, pattern generation, nonlinear feedback shift registers
28Tsutomu Sasao Multiple-Valued Decomposition of Generalized Boolean Functions and the Complexity of Programmable Logic Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF Complexity of logic circuits, programmable logic array, multiple-valued logic, functional decomposition, symmetric function
28Vinod K. Agarwal Multiple Fault Detection in Programmable Logic Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF single fault coverage, Contact faults, PLA fault detection, PLA modeling, programmable logic arrays, masking, multiple fault detection
28Suhas S. Patil, Terry A. Welch A Programmable Logic Approach for VLSI. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF very large-scale integrated (VLSI) technology, digital integrated circuit design, programmable logic circuits, Asynchronous circuits, logic arrays, digital systems design
28Thaddeus Kobylarz, Atef Al-Najjar An Examination of the Cost Function for Programmable Logic Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF programmable logic arrays (PLA's), cyclic tables, minimal covers, multiple output combinational circuits, minimization, Cost functions
28Roy A. Wood A High Density Programmable Logic Array Chip. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF Array folding techniques, array logic, array optimization, folded array configuration, programmable logic array (PLA)
28Michael D. Hutton, Vinson Chan, Peter Kazarian, Victor Maruri, Tony Ngai, Jim Park, Rakesh H. Patel, Bruce Pedersen, Jay Schleicher, Sergey Y. Shumarayev Interconnect enhancements for a high-speed PLD architecture. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, architecture, interconnect, programmable logic
28Charles E. Stroud, Srinivasa Konala, Ping Chen, Miron Abramovici Built-in self-test of logic blocks in FPGAs (Finally, a free lunch: BIST without overhead!). Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF BIST architecture, programmable logic blocks, field programmable gate arrays, VLSI, logic testing, built-in self test, built-in self-test, integrated circuit testing, automatic testing, FPGA testing, field programmable gate array testing
28Steve Trimberger Redefining the FPGA for the Next Generation. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Hamish Fallside, Michael John Sebastian Smith Internet Connected FPL. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Samary Baranov CAD System for ASM and FSM Synthesis. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Tan Nguyen, Zachary Blair, Stephen Neuendorffer, John Wawrzynek SPADES: A Productive Design Flow for Versal Programmable Logic. Search on Bibsonomy FPL The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
26Laurent Fesquet, Marc Renaudin A Programmable Logic Architecture for Prototyping Clockless Circuits. Search on Bibsonomy FPL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Chun Te Ewe, Peter Y. K. Cheung, George A. Constantinides Error Modelling of Dual FiXed-point Arithmetic and its Application in Field Programmable Logic. Search on Bibsonomy FPL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Norbert Pramstaller, Johannes Wolkerstorfer A Universal and Efficient AES Co-processor for Field Programmable Logic Arrays. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Albert Simpson, Jill K. Hunter, Moira Wylie, Yi Hu, David Mann Demonstrating Real-Time JPEG Image Compression-Decompression Using Standard Component IP Cores on a Programmable Logic Based Platform for DSP and Image Processing. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26E. Cantó, Juan Manuel Moreno, Joan Cabestany, Julio Faura, Josep Maria Insenser A Bipartitioning Algorithm for Dynamic Reconfigurable Programmable Logic. Search on Bibsonomy FPL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Reiner W. Hartenstein, Manfred Glesner (eds.) Field-Programmable Logic, Smart Applications, New Paradigms and Compilers, 6th International Workshop on Field-Programmable Logic, FPL '96, Darmstadt, Germany, September 23-25, 1996, Proceedings Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Markus Weinhardt Portable Pipeline Synthesis for FCCMs. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Alessandro Balboni, Loris Valenti ASIC Design and FPGA Design: A Unified Design Methodology Applied to Different Technologies. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Albrecht Ditzinger, Ralph Remme Key Issues for User Acceptance of FPGA Design Tools. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Holger Eggers, Patrick Lysaght, Hugh Dick, Gordon Charles McGregor Fast Reconfigurable Crossbar Switching in FPGAs. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Uwe Meyer-Bäse Coherent Demodulation with FPGAs. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Kalle Tammemäe, Mattias O'Nils, Ahmed Hemani Flexible Codesign Target Architecture for Early Prototyping of CMIST Systems. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26John R. Haddy, David J. Skellern An Asynchronous Transfer Mode (ATM) Stream Demultiplexer and Switch. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Sébastien Pillement, Lionel Torres, Michel Robert, Gaston Cambon Concurrent Design of Hardware/Software Dedicated Systems. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Milan Vasilko, Djamel Ait-Boudaoud Optically Reconfigurable FPGAs: Is this a Future Trend? Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Milan Vasilko, Djamel Ait-Boudaoud Architectural Synthesis Techniques for Dynamically Reconfigurable Logic. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Keisuke Inoue, Toru Kisuki, Michitaka Okuno, Etsuko Shimizu, Takuya Terasawa, Hideharu Amano ATTEMPT-1: A Reconfigurable Multiprocessor Testbed. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Chris Dick, Fred Harris 0001 FIR Filtering with FPGAs Using Quadrature Sigma-Delta Modulation Encoding. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Nigel Lester, Jonathan Saul Logic Synthesis for FPGAs Using A Mixed Exclusive-/Inclusive-OR Form. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Michael Gschwind, Christian Mautner Migration from Schematic-Based Designs to a VHDL Synthesis Environment. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Carl Ebeling, Darren C. Cronquist, Paul Franklin RaPiD - Reconfigurable Pipelined Datapath. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Stefan H.-M. Ludwig The Design of a Coprocessor Board Using Xilinx's XC6200 FPGA - An Experience Report. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Steve Casselman Reconfigurable Logic Based Fibre Channel Network Card With Sub 2 Micro-Second Raw Latency. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Toshiaki Miyazaki, Akihiro Tsutsui, Kenji Ishii, Naohisa Ohta FACT: Co-evaluation Environment for FPGA Architecture and CAD System. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26David W. Trainor, Roger F. Woods Architectural Synthesis and Efficient Circuit Implementation for Field Programmable Gate Arrays. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Kevin Rowley, Colin Lyden Implementing Sigma Delta Modulator Prototype Designs on an FPGA. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Yuichiro Shibata, Xiao-ping Ling, Hideharu Amano An Emulation System of the WASMII: A Data Driven Computer on a Virtual Hardware. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Takayuki Suyama, Makoto Yokoo, Hiroshi Sawada Solving Satisfiability Problems on FPGAs. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Tom Kean, Bernie New, Robert Slous A Fast Constant Coefficient Multiplier for the XC6200. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Reiner W. Hartenstein, Jürgen Becker 0001, Rainer Kress 0002 Custom Computing Machines vs. Hardware/Software Codesign: From a globalized point of view. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Uwe Meyer-Bäse Convolutional Error Decoding with FPGAs. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Gulsun Yasar, Julie Devins, Yelena Tsyrkina, Gregg Stadtlander, Eric Millham Growable FPGA Macro Generator. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Zoran A. Salcic, R. Bruce Maunder CCSimP - An Instruction-level Custom-Configurable Processor for FPLDs. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26César Sanz, Laura de Zulueta, Juan M. Meneses FPGA Implementation of the Block-Matching Algorithm for Motion Estimation in Image Coding. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Michael Braun 0002, Jörg Friedrich, Thomas Grün, Josef Lembert Parallel CRC Computation in FPGAs. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Jean-Paul Heron, Roger F. Woods Architectural Strategies for Implementing an Image Processing Algorithm on XC6000 FPGA. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 4109 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license