The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase sleep-transistor (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1997-2004 (17) 2005-2006 (19) 2007 (16) 2008-2009 (16) 2010-2013 (16) 2014-2021 (9)
Publication types (Num. hits)
article(21) inproceedings(72)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 71 occurrences of 37 keywords

Results
Found 93 publication records. Showing 93 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
152Ashoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Optimal sleep transistor synthesis under timing and area constraints. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF row-based, clustering, leakage power, power-gating, standard cell, sleep transistor
150Andrea Calimera, Enrico Macii, Massimo Poncino NBTI-aware sleep transistor design for reliable power-gating. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, sizing, sleep-transistor, nbti
125Ang-Chih Hsieh, Tzu-Teng Lin, Tsuang-Wei Chang, TingTing Hwang A functionality-directed clustering technique for low-power MTCMOS design - computation of simultaneously discharging current. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DSTN, low power, MTCMOS, sleep transistor
122Kaijian Shi, David Howard Challenges in sleep transistor design and implementation in low-power designs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF methodology, low-power design, power gating, sleep transistor
113Ehsan Pakbaznia, Massoud Pedram Coarse-Grain MTCMOS Sleep Transistor Sizing Using Delay Budgeting. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
113Liangpeng Guo, Yici Cai, Qiang Zhou 0001, Le Kang, Xianlong Hong A novel performance driven power gating based on distributed sleep transistor network. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF physical design, power-gating, sleep transistors
102Jie Gu 0003, Hanyong Eom, Chris H. Kim Sleep transistor sizing and control for resonant supply noise damping. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF resonant supply noise, sleep transistor, damping
99Changbo Long, Lei He 0001 Distributed sleep transistor network for power reduction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
99Changbo Long, Lei He 0001 Distributed sleep transistor network for power reduction. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
98Vishal Khandelwal, Ankur Srivastava 0001 Leakage Control Through Fine-Grained Placement and Sizing of Sleep Transistors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
98Vishal Khandelwal, Ankur Srivastava 0001 Leakage control through fine-grained placement and sizing of sleep transistors. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
94Andrea Calimera, Antonio Pullini, Ashoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Design of a family of sleep transistor cells for a clustered power-gating flow in 65nm technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF layout, leakage power, insertion, standard-cell, sleep transistor
86Tsuang-Wei Chang, TingTing Hwang, Sheng-Yu Hsu Functionality directed clustering for low power MTCMOS design. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
82Pietro Babighian, Luca Benini, Alberto Macii, Enrico Macii Post-layout leakage power minimization based on distributed sleep transistor insertion. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sub-threshold current, leakage power, sleep transistor
78Ashoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Temporal Discharge Current Driven Clustering for Improved Leakage Power Reduction in Row-Based Power-Gating. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
71De-Shiuan Chiou, Da-Cheng Juan, Yu-Ting Chen, Shih-Chieh Chang Fine-Grained Sleep Transistor Sizing Algorithm for Leakage Power Minimization. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
67Chanseok Hwang, Peng Rong, Massoud Pedram Sleep transistor distribution in row-based MTCMOS designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage minimization, placement, MTCMOS
67Marius Enachescu, Sorin Cotofana, Arjan J. van Genderen, Dimitrios Tsamados, Adrian M. Ionescu Can SG-FET Replace FET in Sleep Mode Circuits? Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SG-FET, power gating, sleep transistor
66Yu Wang 0002, Yongpan Liu, Rong Luo, Huazhong Yang, Hui Wang 0004 Two-phase fine-grain sleep transistor insertion technique in leakage critical circuits. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF leakage current reduction, two-phase fine-grain sleep transistor insertion, mixed integer linear programming
65Yu Wang 0002, Hai Lin, Huazhong Yang, Rong Luo, Hui Wang 0004 Simultaneous Fine-grain Sleep Transistor Placement and Sizing for Leakage Optimization. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
63Ashoka Visweswara Sathanur, Andrea Calimera, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Interactive presentation: Efficient computation of discharge current upper bounds for clustered sleep transistor sizing. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
58Changbo Long, Jinjun Xiong, Lei He 0001 On optimal physical synthesis of sleep transistors. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF physical design, power-gating, sleep transistors
57Anand Ramalingam, Bin Zhang 0011, Anirudh Devgan, David Z. Pan Sleep transistor sizing using timing criticality and temporal currents. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52De-Shiuan Chiou, Shih-Hsin Chen, Shih-Chieh Chang, Chingwei Yeh Timing driven power gating. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF leakage current, power gating, IR drop
52Ramaprasath Vilangudipitchai, Poras T. Balsara Power Switch Network Design for MTCMOS. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Yu Wang 0002, Yongpan Liu, Rong Luo, Huazhong Yang Genetic Algorithm Based Fine-Grain Sleep Transistor Insertion Technique for Leakage Optimization. Search on Bibsonomy ICNC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
50Kaijian Shi, Zhian Lin, Yi-Min Jiang A Power Network Synthesis Method for Industrial Power Gating Designs. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
50Enrico Macii Leakage power optimization in standard-cell designs. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
50James T. Kao, Anantha P. Chandrakasan, Dimitri A. Antoniadis Transistor Sizing Issues and Tool For Multi-Threshold CMOS Technology. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
49Yu Wang 0002, Ku He, Rong Luo, Hui Wang 0004, Huazhong Yang Two-Phase Fine-Grain Sleep Transistor Insertion Technique in Leakage Critical Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Yongho Lee, Deog-Kyoon Jeong, Taewhan Kim Simultaneous control of power/ground current, wakeup time and transistor overhead in power gated circuits. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
44Charbel J. Akl, Rafic A. Ayoubi, Magdy A. Bayoumi An effective staggered-phase damping technique for suppressing power-gating resonance noise during mode transition. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
44Zhiyu Liu, Volkan Kursun Leakage current starved domino logic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate oxide tunneling, sleep mode, domino logic, subthreshold leakage current, dual threshold voltage
43Yu Wang 0002, Hui Wang 0004, Huazhong Yang Fine-grain Sleep Transistor Placement Considering Leakage Feedback Gate. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Afshin Abdollahi, Massoud Pedram, Farzan Fallah, Indradeep Ghosh Precomputation-based Guarding for Dynamic and Leakage Power Reduction. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42James T. Kao, Siva G. Narendra, Anantha P. Chandrakasan MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, synthesis, placement, flip-flops, voltage scaling, codec, MPEG4, level converters, design automatian
38Zhiyu Liu, Volkan Kursun Leakage Biased Sleep Switch Domino Logic. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate oxide tunneling, sleep mode, Domino logic, subthreshold leakage current, dual threshold voltage
35Afshin Nourivand, Chunyan Wang 0004, M. Omair Ahmad An Adaptive Sleep Transistor Biasing Scheme for Low Leakage SRAM. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31S. Saqib Khursheed, Sheng Yang 0003, Bashir M. Al-Hashimi, Xiaoyu Huang, David Flynn Improved DFT for Testing Power Switches. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF power switch, leakage power management, test time overhead, DFT, design for test, Sleep transistor
31Ashoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Timing-driven row-based power gating. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF row-based, clustering, leakage power, power-gating, standard cell, sleep transistor
31Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry LAP: a logic activity packing methodology for leakage power-tolerant FPGAs. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF activity profile, basic logic elements (BLEs), configurable logic blocks (CLBs), sleep transistor (ST), sub-threshold leakage power, FPGA, packing
31Houman Homayoun, Avesta Sasan, Alexander V. Veidenbaum, Hsin-Cheng Yao, Shahin Golshan, Payam Heydari MZZ-HVS: Multiple Sleep Modes Zig-Zag Horizontal and Vertical Sleep Transistor Sharing to Reduce Leakage Power in On-Chip SRAM Peripheral Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
30Chandramouli Gopalakrishnan, Srinivas Katkoori KnapBind: An Area-Efficient Binding Algorithm for Low-leakage Datapaths. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Chandramouli Gopalakrishnan, Srinivas Katkoori Resource Allocation and Binding Approach for Low Leakage Power. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Tamer Cakici, Keejong Kim, Kaushik Roy 0001 FinFET Based SRAM Design for Low Standby Power Applications. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Ashoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino A Scalable Algorithmic Framework for Row-Based Power-Gating. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Andrea Calimera, Luca Benini, Enrico Macii Optimal MTCMOS Reactivation Under Power Supply Noise and Performance Constraints. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Xin Zhao, Yici Cai, Qiang Zhou 0001, Xianlong Hong A novel low-power physical design methodology for MTCMOS. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Jente B. Kuang, Hung C. Ngo, Kevin J. Nowka, Jethro C. Law, Rajiv V. Joshi A Low-Overhead Virtual Rail Technique for SRAM Leakage Power Reduction. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Abhishek Bhattacharjee, Sambhu Nath Pradhan NBTI-Aware Power Gating Design with Dynamically Varying Stress Probability Control on Sleep Transistor. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Gerson D. Andrade, Ricardo A. L. Reis, Eduardo A. C. da Costa, Alexandra L. Zimpeck Sensitivity of FinFET Adders to PVT Variations and Sleep Transistor as a Mitigation Strategy. Search on Bibsonomy APCCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Sherif M. Sharroush Optimum Sizing of the Sleep Transistor in MTCMOS Technology. Search on Bibsonomy NILES The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Jitendra Kumar Mishra, Harshit Srivastava, Prasanna Kumar Misra, Manish Goswami A 40nm Low Power High Stable SRAM Cell Using Separate Read Port and Sleep Transistor Methodology. Search on Bibsonomy iSES The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Rohit Lorenzo, Saurabh Chaudhury Dynamic Threshold Sleep Transistor Technique for High Speed and Low Leakage in CMOS Circuits. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Huimei Cheng, Ji Li 0006, Jeffrey T. Draper, Shahin Nazarian, Yanzhi Wang Deadline-Aware Joint Optimization of Sleep Transistor and Supply Voltage for FinFET Based Embedded Systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Daniele Rossi 0001, Vasileios Tenentes, S. Saqib Khursheed, Bashir M. Al-Hashimi NBTI and leakage aware sleep transistor design for reliable and energy efficient power gating. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Kai-Chiang Wu, Ing-Chao Lin, Yao-Te Wang, Shuen-Shiang Yang BTI-Aware Sleep Transistor Sizing Algorithm for Reliable Power Gating Designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Qing Xie 0001, Yanzhi Wang, Shuang Chen 0001, Massoud Pedram Variation-aware joint optimization of the supply voltage and sleep transistor size for the 7nm FinFET technology. Search on Bibsonomy ICCD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Dipankar Saha, Subhramita Basak, Sagar Mukherjee, Sayan Chatterjee, Chandan Kumar Sarkar Implementation of the Cluster Based Tunable Sleep Transistor Cell Power Gating Technique for a 4x4 Multiplier Circuit. Search on Bibsonomy CoRR The full citation details ... 2013 DBLP  BibTeX  RDF
21Kaijian Shi Sleep transistor design in 28nm CMOS technology. Search on Bibsonomy SoCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Pradeep Singla, Kamya Dhingra, Naveen Kr. Malik DSTN (Distributed Sleep Transistor Network) for Low Power Programmable Logic array Design Search on Bibsonomy CoRR The full citation details ... 2012 DBLP  BibTeX  RDF
21Sven Rosinger, Wolfgang Nebel Sleep-Transistor Based Power-Gating Tradeoff Analyses. Search on Bibsonomy PATMOS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21Suyoung Bang, David T. Blaauw, Dennis Sylvester, Massimo Alioto Reconfigurable sleep transistor for GIDL reduction in ultra-low standby power systems. Search on Bibsonomy CICC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21Ashoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Row-Based Power-Gating: A Novel Sleep Transistor Insertion Methodology for Leakage Power Optimization in Nanometer CMOS Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Seidai Takeda, Kyundong Kim, Hiroshi Nakamura, Kimiyoshi Usami Sleep Transistor Sizing Method Using Accurate Delay Estimation Considering Input Vector Pattern and Non-linear Current Model. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Karthikeyan Lingasubramanian, Andrea Calimera, Alberto Macii, Enrico Macii, Massimo Poncino Sub-Row Sleep Transistor Insertion for Concurrent Clock-Gating and Power-Gating. Search on Bibsonomy PATMOS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21De-Shiuan Chiou, Yu-Ting Chen, Da-Cheng Juan, Shih-Chieh Chang Sleep Transistor Sizing for Leakage Power Minimization Considering Temporal Correlation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Yongho Lee, Taewhan Kim Technique for controlling power-mode transition noise in distributed sleep transistor network. Search on Bibsonomy ASP-DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Yu Sun, Liyi Xiao, Cong Shi DSTN sleep transistor sizing with a new approach to estimate the maximum instantaneous current. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21David Bol, Cédric Hocquet, Denis Flandre, Jean-Didier Legat Robustness-aware sleep transistor engineering for power-gated nanometer subthreshold circuits. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Houman Homayoun, Shahin Golshan, Eli Bozorgzadeh, Alexander V. Veidenbaum, Fadi J. Kurdahi Post-synthesis sleep transistor insertion for leakage power optimization in clock tree networks. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Sven Rosinger, Domenik Helms, Wolfgang Nebel RTL power modeling and estimation of sleep transistor based power gating. Search on Bibsonomy J. Embed. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Jie Gu 0003, Hanyong Eom, John Keane 0001, Chris H. Kim Sleep Transistor Sizing and Adaptive Control for Supply Noise Minimization Considering Resonance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21De-Shiuan Chiou, Shih-Hsin Chen, Shih-Chieh Chang Sleep Transistor Sizing for Leakage Power Minimization Considering Charge Balancing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Kaijian Shi, Zhian Lin, Yi-Min Jiang, Lin Yuan Simultaneous Sleep Transistor Insertion and Power Network Synthesis for Industrial Power Gating Designs. Search on Bibsonomy J. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Houman Homayoun, Mohammad A. Makhzan, Alexander V. Veidenbaum ZZ-HVS: Zig-zag horizontal and vertical sleep transistor sharing to reduce leakage power in on-chip SRAM peripheral circuits. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Muhammad M. Khellah, Dinesh Somasekhar, Yibin Ye, Nam-Sung Kim, Jason Howard, Gregory Ruhl, Murad Sunna, James W. Tschanz, Nitin Borkar, Fatih Hamzaoglu, Gunjan Pandya, Ali Farhang, Kevin Zhang 0001, Vivek De A 256-Kb Dual-VCC SRAM Building Block in 65-nm CMOS Process With Actively Clamped Sleep Transistor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Dimitrios Tsamados, Yogesh Singh Chauhan, Christoph Eggimann, Adrian Mihai Ionescu Suspended-gate FET as a sleep transistor for ultra-low stand-by power applications. Search on Bibsonomy Nano-Net The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Sven Rosinger, Domenik Helms, Wolfgang Nebel RTL Power Modeling and Estimation of Sleep Transistor Based Power Gating. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Kevin Zhang 0001, Uddalak Bhattacharya, Zhanping Chen, Fatih Hamzaoglu, Daniel Murray, Narendra Vallepalli, Yih Wang, Bo Zheng, Mark Bohr SRAM design on 65-nm CMOS technology with dynamic sleep transistor for leakage reduction. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Stephan Henzler, Thomas Nirschi, Christian Pacha, Peter Spindler, Philip Teichmann, Michael Fulde, Jürgen Fischer, Matthias Eireiner, Thomas Fischer, Georg Georgakos, Jörg Berthold, Doris Schmitt-Landsiedel Dynamic state-retention flip flop for fine-grained sleep-transistor scheme. Search on Bibsonomy ESSCIRC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Amit Agarwal 0001, Kaushik Roy 0001, Ram K. Krishnamurthy A leakage-tolerant low-leakage register file with conditional sleep transistor. Search on Bibsonomy SoCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21James W. Tschanz, Siva G. Narendra, Yibin Ye, Bradley A. Bloechel, Shekhar Borkar, Vivek De Dynamic sleep transistor and body bias for active leakage power control of microprocessors. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Nandish Ashutosh Mehta, Gururaj V. Naik, Bharadwaj S. Amrutur In-situ power monitoring scheme and its application in dynamic voltage and threshold scaling for digital CMOS integrated circuits. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF DVTS loop, in-situ power monitor, power optimum point, variable body bias, variable supply voltage, low power, ground bounce
14Lerong Cheng, Fei Li 0003, Yan Lin 0001, Phoebe Wong, Lei He 0001 Device and Architecture Cooptimization for FPGA Power Reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Yu-Ting Chen, Da-Cheng Juan, Ming-Chao Lee, Shih-Chieh Chang An efficient wake-up schedule during power mode transition considering spurious glitches phenomenon. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Arijit Raychowdhury, Xuanyao Fong, Qikai Chen, Kaushik Roy 0001 Analysis of super cut-off transistors for ultralow power digital logic circuits. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF carbon nanotube FETs, tunneling transistors
14Vijay K. Jain, Glenn H. Chapman Defect Tolerant and Energy Economized DSP Plane of a 3-D Heterogeneous SoC. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D Heterogeneous sensor, redundancy and reconfiguration, energy economization, heterogeneous SOC, J-platform, defect tolerance
14Hailin Jiang, Malgorzata Marek-Sadowska, Sani R. Nassif Benefits and Costs of Power-Gating Technique. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Aman Gayasen, Yuh-Fang Tsai, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Tim Tuan Reducing leakage energy in FPGAs using region-constrained placement. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF region-constrained placement, FPGA, leakage power
14Fei Li 0003, Lei He 0001, Joseph M. Basile, Rakesh J. Patel, Hema Ramamurthy High-level area and power-up current estimation considering rich cell library. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Fei Li 0003, Lei He 0001, Joseph M. Basile, Rakesh J. Patel, Hema Ramamurthy High Level Area and Current Estimation. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Avi Efrati, Moshe Kleyner Timing analysis challenges for high speed CPUs at 90nm and below. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #93 of 93 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license