The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase variation-aware (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2003-2006 (31) 2007 (20) 2008 (43) 2009 (38) 2010 (31) 2011 (33) 2012 (24) 2013 (20) 2014 (34) 2015 (27) 2016 (28) 2017 (15) 2018 (15) 2019 (26) 2020 (16) 2021 (17) 2022 (20) 2023-2024 (19)
Publication types (Num. hits)
article(157) inproceedings(295) phdthesis(5)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 159 occurrences of 109 keywords

Results
Found 457 publication records. Showing 457 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
47Gregory Lucas, Chen Dong 0003, Deming Chen Variation-aware placement for FPGAs with multi-cycle statistical timing analysis. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-cycle, variation-aware, fpga, placement, ssta, statistical static timing analysis
47Seyed-Abdollah Aftabjahani, Linda S. Milor Compact Variation-Aware Standard Cell Models for Timing Analysis - Complexity and Accuracy Analysis. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Variation-Aware Timing Models, Standard Cells, Statistical Timing Analysis
42Yiyu Shi 0001, Jinjun Xiong, Chunchen Liu, Lei He 0001 Efficient Decoupling Capacitance Budgeting Considering Operation and Process Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Feng Wang 0004, Chrysostomos Nicopoulos, Xiaoxia Wu, Yuan Xie 0001, Narayanan Vijaykrishnan Variation-aware task allocation and scheduling for MPSoC. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Tarek A. El-Moselhy, Luca Daniel Stochastic dominant singular vectors method for variation-aware extraction. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF intrusive algorithms, stochastic PDEs, stochastic dominant singular vectors, variation-aware extraction, stochastic simulation, integral equations, surface roughness, parasitic extraction
39Debabrata Mohapatra, Georgios Karakonstantis, Kaushik Roy 0001 Significance driven computation: a voltage-scalable, variation-aware, quality-tuning motion estimator. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF significance driven computation, variation aware, voltage over-scaling, low power, motion estimation
38Chen Dong 0003, Scott Chilstedt, Deming Chen FPCNA: a field programmable carbon nanotube array. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cnt-based lut, discretized ssta, variation aware cad, fpga, nanoelectronics
38Kanakagiri Raghavendra, Madhu Mutyam Process Variation Aware Issue Queue Design. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Madhu Mutyam, Narayanan Vijaykrishnan Working with process variation aware caches. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Lerong Cheng, Jinjun Xiong, Lei He 0001, Mike Hutton FPGA Performance Optimization Via Chipwise Placement Considering Process Variations. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Yiyu Shi 0001, Jinjun Xiong, Chunchen Liu, Lei He 0001 Efficient decoupling capacitance budgeting considering operation and process variations. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Tarek Moselhy, Luca Daniel Stochastic integral equation solver for efficient variation-aware interconnect extraction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Neumann expansion, polynomial chaos expansion, stochastic field solvers, variation-aware extraction, surface roughness
32Nilanjan Banerjee, Jung Hwan Choi, Kaushik Roy 0001 A process variation aware low power synthesis methodology for fixed-point FIR filters. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fixed-point FIR filters, variation aware, low-power, synthesis
30Soroush Abbaspour, Hanif Fatemi, Massoud Pedram VITA: variation-aware interconnect timing analysis for symmetric and skewed sources of variation considering variational ramp input. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF moment calculation, sources of variation, sensitivity, statistical timing analysis, elmore delay
30HaNeul Chon, Taewhan Kim Timing variation-aware task scheduling and binding for MPSoC. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Gregory Lucas, Scott Cromar, Deming Chen FastYield: variation-aware, layout-driven simultaneous binding and module selection for performance yield optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Shubhankar Basu, Balaji Kommineni, Ranga Vemuri Variation-Aware Macromodeling and Synthesis of Analog Circuits Using Spline Center and Range Method and Dynamically Reduced Design Space. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Moustafa Mohamed, Zheng Li, Xi Chen, Li Shang, Alan Rolf Mickelson, Manish Vachharajani, Yihe Sun Power-efficient variation-aware photonic on-chip network management. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF networks on chip, optical interconnects, nanophotonics
26Vikram Iyengar, Jinjun Xiong, Subbayyan Venkatesan, Vladimir Zolotov, David E. Lackey, Peter A. Habitz, Chandu Visweswariah Variation-aware performance verification using at-speed structural test and statistical timing. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Bo Zhao 0007, Yu Du, Youtao Zhang, Jun Yang 0002 Variation-tolerant non-uniform 3D cache management in die stacked multicore processor. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 3D die stacking, NUCA, process variation, DRAM
26Kazutoshi Kobayashi, Yohei Kume, Cam Lai Ngo, Yuuri Sugihara, Hidetoshi Onodera A variation-aware constant-order optimization scheme utilizing delay detectors to search for fastest paths on FPGAS. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Soroush Abbaspour, Hanif Fatemi, Massoud Pedram VGTA: Variation Aware Gate Timing Analysis. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Rasit Onur Topaloglu, Alex Orailoglu A DFT approach for diagnosis and process variation-aware structural test of thermometer coded current steering DACs. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Lin Huang 0002, Qiang Xu 0001 Performance yield-driven task allocation and scheduling for MPSoCs under process variation. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance yield, process variation, task scheduling
23Feng Wang 0004, Guangyu Sun 0003, Yuan Xie 0001 A Variation Aware High Level Synthesis Framework. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Kumar Yelamarthi, Chien-In Henry Chen Process Variation Aware Timing Optimization through Transistor Sizing in Dynamic CMOS Logic. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF binary-to-thermometer decoder, process variations, timing optimization, transistor sizing, dynamic circuits, binary adders
23Chittarsu Raghunandan, K. S. Sainarayanan, M. B. Srinivas Process Variation Aware Bus-Coding Scheme for Delay Minimization in VLSI Interconnects. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bus coding, delay, process variation
23Venkataraman Mahalingam, N. Ranganathan Variation Aware Timing Based Placement Using Fuzzy Programming. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Suresh Srinivasan, Narayanan Vijaykrishnan Variation Aware Placement for FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Ke Meng, Russ Joseph Process variation aware cache leakage management. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gated-VDD, selective cache ways, low power, process variation, leakage, cache management
23Venkataraman Mahalingam, N. Ranganathan, Justin E. Harlow III A novel approach for variation aware power minimization during gate sizing. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Jae-Seok Yang, Andrew R. Neureuther Crosstalk Noise Variation Assessment and Analysis for the Worst Process Corner. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF worst corner, noise, crosstalk, variation, signal integrity
22Masashi Imai, Takashi Nanya A Novel Design Method for Asynchronous Bundled-data Transfer Circuits Considering Characteristics of Delay Variations. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Xiaoming Chen 0003, Yu Wang 0002, Yu Cao 0001, Yuchun Ma, Huazhong Yang Variation-aware supply voltage assignment for minimizing circuit degradation and leakage. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic vdd scaling, leakage power, negative bias temperature instability (NBTI), dual vdd
21Patrick McGuinness Variations, margins, and statistics. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design margins, process variations, yield, SSTA
21Feng Wang 0004, Yuan Xie 0001 Embedded Multi-Processor System-on-chip (MPSoC) design considering process variations. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Avesta Sasan, Houman Homayoun, Ahmed M. Eltawil, Fadi J. Kurdahi A fault tolerant cache architecture for sub 500mV operation: resizable data composer cache (RDC-cache). Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power memory organization, memory organization., remapping cache, variation aware cache, fault tolerance, low power design, low power cache, vfs
20Abhishek Mishra, Kamal Kishor Jha, Manisha Pattanaik Parameter variation aware hybrid TFET-CMOS based power gating technique with a temperature variation tolerant sleep mode. Search on Bibsonomy Microelectron. J. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
20Avesta Sasan, Kiarash Amiri, Houman Homayoun, Ahmed M. Eltawil, Fadi J. Kurdahi Variation Trained Drowsy Cache (VTD-Cache): A History Trained Variation Aware Drowsy Cache for Fine Grain Voltage Scaling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Zhenyu Guan, Justin S. J. Wong, Sumanta Chaudhuri, George A. Constantinides, Peter Y. K. Cheung A two-stage variation-aware placement method for FPGAS exploiting variation maps classification. Search on Bibsonomy FPL The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Avesta Sasan, Houman Homayoun, Kiarash Amiri, Ahmed M. Eltawil, Fadi J. Kurdahi History & Variation Trained Cache (HVT-Cache): A process variation aware and fine grain voltage scalable cache with active access history monitoring. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Maziar Goudarzi, Tohru Ishihara, Hamid Noori Variation-Aware Software Techniques for Cache Leakage Reduction Using Value-Dependence of SRAM Leakage Due to Within-Die Process Variation. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF process variation, cache memory, Leakage power, power reduction
20Amit Agarwal 0001, Bipul C. Paul, Saibal Mukhopadhyay, Kaushik Roy 0001 Process variation in embedded memories: failure analysis and variation aware architecture. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Nagarajan Ranganathan, Upavan Gupta, Venkataraman Mahalingam Variation-aware multimetric optimization during gate sizing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimization, delay, power, mathematical programming, Gate sizing, crosstalk noise
19Feng Wang 0004, Yuan Xie 0001, Andrés Takach Variation-aware resource sharing and binding in behavioral synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Satish Sivaswamy, Kia Bazargan Statistical Analysis and Process Variation-Aware Routing and Skew Assignment for FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF skew assignment, routing, Statistical timing analysis
19Siddharth Garg, Diana Marculescu System-level throughput analysis for process variation aware multiple voltage-frequency island designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF manufacturing process variations, maximum cycle mean, voltage-frequency islands, performance analysis, system-level design, Globally asynchronous locally synchronous
19Shubhankar Basu, Balaji Kommineni, Ranga Vemuri Variation Aware Spline Center and Range Modeling for Analog Circuit Performance. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Center and Range, Process Variation, Analog, Spline
19Satish Sivaswamy, Kia Bazargan Variation-aware routing for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF statistical timing analysis, FPGA routing
19Ning Lu, Judy H. McCullen Enablement of Variation-Aware Timing: Treatment of Parasitic Resistance and Capacitance. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Luís Guerra e Silva, Zhenhai Zhu, Joel R. Phillips, L. Miguel Silveira Variation-Aware, Library Compatible Delay Modeling Strategy. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Peng Yu, Sean X. Shi, David Z. Pan Process variation aware OPC with variational lithography modeling. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF lithography modeling, process variation, OPC
18Daniel K. Beece, Jinjun Xiong, Chandu Visweswariah, Vladimir Zolotov, Yifang Liu Transistor sizing of custom high-performance digital circuits with parametric yield considerations. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF custom circuits, optimization
18Xin Fu, Tao Li 0006, José A. B. Fortes NBTI tolerant microarchitecture design in the presence of process variation. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Feng Wang 0004, Xiaoxia Wu, Yuan Xie 0001 Variability-driven module selection with joint design time optimization and post-silicon tuning. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Deepa Kannan, Aviral Shrivastava, Vipin Mohan, Sarvesh Bhardwaj, Sarma B. K. Vrudhula Temperature and Process Variations Aware Power Gating of Functional Units. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Deepa Kannan, Aviral Shrivastava, Sarvesh Bhardwaj, Sarma B. K. Vrudhula Power Reduction of Functional Units Considering Temperature and Process Variations. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Sayed Taha Muhammad, Mohamed Saad 0001, Ali A. El-Moursy, Magdy A. El-Moursy, Hesham F. A. Hamed CFPA: Congestion aware, fault tolerant and process variation aware adaptive routing algorithm for asynchronous Networks-on-Chip. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Nilanjan Banerjee, Saumya Chandra, Swaroop Ghosh, Sujit Dey, Anand Raghunathan, Kaushik Roy 0001 Coping with Variations through System-Level Design. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Wei-Lun Hung, Xiaoxia Wu, Yuan Xie 0001 Guaranteeing performance yield in high-level synthesis. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Saumya Chandra, Kanishka Lahiri, Anand Raghunathan, Sujit Dey System-on-Chip Power Management Considering Leakage Power Variations. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Jinjun Xiong, Lei He 0001 Fast buffer insertion considering process variations. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pruning rule, dynamic programming, process variation, transitive closure, buffer insertion
14Jae-Seok Yang, Krit Athikulwongse, Young-Joon Lee, Sung Kyu Lim, David Z. Pan TSV stress aware timing analysis with applications to 3D-IC layout optimization. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF mobility variation, timing analysis, stress, TSV, 3DIC
14David Z. Pan Synergistic modeling and optimization for nanometer IC design/manufacturing integration. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design for manufacturing
12Fatemeh Khodayari, Abdolah Amirany, Kian Jafari, Mohammad Hossein Moaiyeri Low-Cost and Variation-Aware Spintronic Ternary Random Number Generator. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Chao Wang 0094, Zhaohao Wang, Shixing Li, Zhongkui Zhang, Youguang Zhang Variation Aware Evaluation Approach and Design Methodology for SOT-MRAM. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Inseong Jeon, Hyunho Park, Taehwan Yoon, Hanwool Jeong High Efficiency Variation-Aware SRAM Timing Characterization via Machine-Learning-Assisted Netlist Extraction. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Abdullah Giray Yaglikçi, Yahya Can Tugrul, Geraldo F. Oliveira, Ismail Emir Yüksel, Ataberk Olgun, Haocong Luo, Onur Mutlu Spatial Variation-Aware Read Disturbance Defenses: Experimental Analysis of Real DRAM Chips and Implications on Future Solutions. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Abdullah Giray Yaglikçi, Yahya Can Tugrul, Geraldo F. Oliveira, Ismail Emir Yüksel, Ataberk Olgun, Haocong Luo, Onur Mutlu Spatial Variation-Aware Read Disturbance Defenses: Experimental Analysis of Real DRAM Chips and Implications on Future Solutions. Search on Bibsonomy HPCA The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
12Aika Kamei, Hideharu Amano, Takuya Kojima, Daiki Yokoyama, Kimiyoshi Usami, Keizo Hiraga, Kenta Suzuki, Kazuhiro Bessho A Variation-Aware MTJ Store Energy Estimation Model for Edge Devices With Verify-and-Retryable Nonvolatile Flip-Flops. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Pengcheng Zhu 0002, Weiping Ding 0001, Lihua Wei, Xueyun Cheng, Zhijin Guan, Shiguang Feng A Variation-Aware Quantum Circuit Mapping Approach Based on Multi-Agent Cooperation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Xiaoliu Feng, Xianzhang Chen, Qingfeng Zhuge, Duo Liu, Edwin H.-M. Sha, Chun Jason Xue V-WAFA: An Endurance Variation Aware Fine-Grained Allocator for Persistent Memory. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Divyansh Maura, Tanmay Goel, Kaustav Goswami 0002, Dip Sankar Banerjee, Shirshendu Das Variation aware power management for GPU memories. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Zuodong Zhang, Zizheng Guo, Yibo Lin, Meng Li 0004, Runsheng Wang, Ru Huang AVATAR: An Aging- and Variation-Aware Dynamic Timing Analyzer for Error-Efficient Computing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Hameedah Sultan, Smruti R. Sarangi VarSim: A fast process variation-aware thermal modeling methodology using Green's functions. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Tiantian Liu 0003, Zijin Feng, Huan Li 0003, Hua Lu 0001, Muhammad Aamir Cheema, Hong Cheng 0001, Jianliang Xu Towards Indoor Temporal-Variation Aware Shortest Path Query. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Ling-Yen Song, Chih-Yun Chou, Tung-Chieh Kuo, Chien-Nan Liu, Juinn-Dar Huang Machine Learning Assisted Circuit Sizing Approach for Low-Voltage Analog Circuits with Efficient Variation-Aware Optimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Mingle Xu, Jaehwan Lee, Sook Yoon, Hyongsuk Kim, Dong Sun Park Variation-Aware Semantic Image Synthesis. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Hameedah Sultan, Smruti R. Sarangi VarSim: A Fast Process Variation-aware Thermal Modeling Methodology Using Green's Functions. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Xijie Huang, Zhiqiang Shen, Kwang-Ting Cheng Variation-aware Vision Transformer Quantization. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Octavian Pascu, Catalin Visan, Georgian Nicolae, Mihai Boldeanu, Horia Cucu, Cristian Diaconu, Andi Buzo, Georg Pelz Efficient Multi-Objective Optimization for PVT Variation-Aware Circuit Sizing Using Surrogate Models and Smart Corner Sampling. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Kyungmin Lee, Jaehong Jung, Gyusik Kim, Joomyoung Kim, Seungjin Kim, Seunghyun Oh, Sung Min Park 0001, Jongwoo Lee A Wide Frequency Range, Small Area and Low Supply Memory Interface PLL Using a Process and Temperature Variation Aware Current Reference in 3 nm Gate-All Around CMOS. Search on Bibsonomy A-SSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Sai Shubham, Shubham Pandit, Kailash Prasad, Joycee Mekie PVC-RAM:Process Variation Aware Charge Domain In-Memory Computing 6T-SRAM for DNNs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Lei Zhang 0195, Na Jiang, Qishuai Diao, Zhong Zhou, Wei Wu 0008 Person Re-identification with pose variation aware data augmentation. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Viet Nguyen, Filippo Schembari, Robert Bogdan Staszewski A Deep-Subthreshold Variation-Aware 0.2-V Open-Loop VCO-Based ADC. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Jinkui Hao, Fei Li, Huaying Hao, Huazhu Fu, Yanwu Xu 0001, Risa Higashita, Xiulan Zhang, Jiang Liu 0001, Yitian Zhao Hybrid Variation-Aware Network for Angle-Closure Assessment in AS-OCT. Search on Bibsonomy IEEE Trans. Medical Imaging The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Lalit Mohan Dani, Neeraj Mishra, Bulusu Anand A Variation Aware Jitter Estimation Methodology in ROs Considering Over/Undershoots in NTV Regime. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Yi Wang 0003, Jiangfan Huang, Jing Chen, Rui Mao 0001 PVSensing: A Process-Variation-Aware Space Allocation Strategy for 3D NAND Flash Memory. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Wei Shi, Hanrui Wang 0002, Jiaqi Gu, Mingjie Liu, David Z. Pan, Song Han 0003, Nan Sun 0001 RobustAnalog: Fast Variation-Aware Analog Circuit Design Via Multi-task RL. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Kaustav Goswami 0002, Hemanta Kumar Mondal, Shirshendu Das, Dip Sankar Banerjee VAR-DRAM: Variation-Aware Framework for Efficient Dynamic Random Access Memory Design. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
12Sunanda Thunder, Po-Tsang Huang Variation Aware Training of Hybrid Precision Neural Networks with 28nm HKMG FeFET Based Synaptic Core. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
12Arkadiy Dushatskiy, Gerry Lowe, Peter A. N. Bosman, Tanja Alderliesten Data variation-aware medical image segmentation. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
12Liang Shi, Yina Lv, Longfei Luo, Changlong Li, Chun Jason Xue, Edwin H.-M. Sha Read latency variation aware performance optimization on high-density NAND flash based storage systems. Search on Bibsonomy CCF Trans. High Perform. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Bindu Agarwalla, Shirshendu Das, Nilkanta Sahu Process variation aware DRAM-Cache resizing. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Arkadiy Dushatskiy, Gerry Lowe, Peter A. N. Bosman, Tanja Alderliesten Data variation-aware medical image segmentation. Search on Bibsonomy Medical Imaging: Image Processing The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Shamiul Alam, Md. Mazharul Islam 0006, Akhilesh Jaiswal 0001, Nathaniel C. Cady, Garrett S. Rose, Ahmedullah Aziz Variation-aware Design Space Exploration of Mott Memristor-based Neuristors. Search on Bibsonomy ISVLSI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Nikolaos Blias, Iordanis Lilitsis, Stavros Simoglou, Evangelos Bakas, Christos P. Sotiriou Investigation on Performance, Power, Area Trade-Offs using Deterministic and Monte-Carlo Process Variation Aware Synthesis Flows. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Ling-Yen Song, Tung-Chieh Kuo, Ming-Hung Wang, Chien-Nan Jimmy Liu, Juinn-Dar Huang Fast Variation-aware Circuit Sizing Approach for Analog Design with ML-Assisted Evolutionary Algorithm. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Wei Shi, Hanrui Wang 0002, Jiaqi Gu, Mingjie Liu, David Z. Pan, Song Han 0003, Nan Sun 0001 RobustAnalog: Fast Variation-Aware Analog Circuit Design Via Multi-task RL. Search on Bibsonomy MLCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Cheng Chu, Dawen Xu 0002, Ying Wang 0001, Fan Chen 0001 Canopy: A CNFET-based Process Variation Aware Systolic DNN Accelerator. Search on Bibsonomy ISLPED The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 457 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license