The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for leakage with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1990 (17) 1991-1994 (16) 1995-1996 (21) 1997-1998 (29) 1999 (27) 2000 (36) 2001 (66) 2002 (123) 2003 (147) 2004 (230) 2005 (320) 2006 (402) 2007 (355) 2008 (381) 2009 (316) 2010 (232) 2011 (217) 2012 (235) 2013 (276) 2014 (304) 2015 (300) 2016 (307) 2017 (321) 2018 (373) 2019 (464) 2020 (414) 2021 (449) 2022 (482) 2023 (481) 2024 (131)
Publication types (Num. hits)
article(3299) book(3) incollection(19) inproceedings(4099) phdthesis(52)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2239 occurrences of 940 keywords

Results
Found 7472 publication records. Showing 7472 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
36Jun Seomun, Insup Shin, Youngsoo Shin Synthesis and implementation of active mode power gating circuits. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF active leakage, active-mode power gating, low power
36Wanping Zhang, Wenjian Yu, Xiang Hu, Amirali Shayan Arani, A. Ege Engin, Chung-Kuan Cheng Predicting the worst-case voltage violation in a 3D power network. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF worst case violation prediction, integer linear programming, leakage, clock gating, power networks
36Mahmoud Ben Naser, Csaba Andras Moritz Power and performance tradeoffs with process variation resilient adaptive cache architectures. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF process variations, leakage power, adaptive cache
36Junpei Zushi, Gang Zeng, Hiroyuki Tomiyama, Hiroaki Takada, Koji Inoue Improved Policies for Drowsy Caches in Embedded Processors. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low Power Cache Design, Leakage Energy, Drowsy Cache
36Rabiul Islam, Adam Brand, Dave Lippincott Low power SRAM techniques for handheld products. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF back-bias, bitcell, memory, leakage
36Meeta Srivastav, S. S. S. P. Rao, Himanshu Bhatnagar Power Reduction Technique Using Multi-vt Libraries. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF High-Vt, Low-Vt, DFT, ASIC, Leakage power, DSM
36Wei Zhang 0002, Jie S. Hu, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin Reducing instruction cache energy consumption using a compiler-based strategy. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compiler optimizations, Leakage power, cache design
36Frank Sill, Frank Grassert, Dirk Timmermann Low power gate-level design with mixed-Vth (MVT) techniques. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF MVT, leakage currents, threshold voltage
36Bramha Allu, Wei Zhang 0002 Static next sub-bank prediction for drowsy instruction cache. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compiler, instruction cache, leakage energy
36Colin D. Walter Simple Power Analysis of Unified Code for ECC Double and Add. Search on Bibsonomy CHES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF unified code, elliptic curve cryptography, ECC, simple power analysis, SPA, Side channel leakage, Montgomery modular multiplication
36Robert K. Grube, Qi Wang, Sung-Mo Kang Design limitations in deep sub-0.1µm CMOS SRAM. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF GIDL, on-chip cache, tunneling currents, gate leakage
36Udo Mahlstedt, Jürgen Alt, Matthias Heinitz CURRENT: a test generation system for IDDQ testing. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF CURRENT test system, test generation system, scan-based circuits, library-based fault modeling strategy, intra-gate shorts, inter-gate shorts, gate-drain shorts, deterministic test generator, test set compaction technique, fault diagnosis, logic testing, integrated circuit testing, automatic testing, fault simulator, fault coverage, fault location, CMOS logic circuits, bridging faults, boundary scan testing, I/sub DDQ/ testing, test application time reduction, stuck-on faults, leakage faults
36Le Kang, Yici Cai, Yi Zou, Jin Shi, Xianlong Hong, Sheldon X.-D. Tan Fast Decoupling Capacitor Budgeting for Power/Ground Network Using Random Walk Approach. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF programming method, decoupling capacitor budgeting algorithm, random walk approach, decap budgeting algorithm, power ground network design, isolation property, decap optimization process, leakage currents optimization algorithm, refined leakage model, heuristic method
36Hari Ananthan, Chris H. Kim, Kaushik Roy 0001 Larger-than-vdd forward body bias in sub-0.5V nanoscale CMOS. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF forward body bias, junction leakage, sub-threshold leakage, process variations
36Manoj Sachdev SeparateIDDQ testing of signal and bias paths in CMOS ICs for defect diagnosis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF junction leakage current, diagnostics, deep sub-micron, I DDQ testing, subthreshold leakage current
36Jian Liu, Rafic Z. Makki Power supply current detectability of SRAM defects. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF short-circuit currents, fault currents, power supply circuits, power supply current detectability, SRAM defects, SRAM cell, power supply current, I/sub DDQ/, quiescent power supply current, i/sub DDT/, transient power supply current, shorts, disturb-type pattern sensitivity, total current leakage, SRAM size, current detectability, large circuit effects, simulation, fault diagnosis, leakage currents, transients, SRAM chips, open defects, electric current measurement, physical defect
34Zhimin Chen 0002, Syed Haider, Patrick Schaumont Side-Channel Leakage in Masked Circuits Caused by Higher-Order Circuit Effects. Search on Bibsonomy ISA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Yu-Hsun Lin, Xuan-Yi Lin, Yeh-Ching Chung Reducing Leakage Power of JPEG Image on Asymmetric SRAM. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Chandra S. Nagarajan, Lin Yuan, Gang Qu 0001, Barbara G. Stamps Leakage optimization using transistor-level dual threshold voltage cell library. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Amir Khatib Zadeh, Catherine H. Gebotys Side channel aware leakage management in nanoscale Cryptosystem-on-Chip (CoC). Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Ji-Hye Bong, Yong-Jin Kwon, Kyeong-Sik Min, Sung-Mo Kang New word-line driving scheme for suppressing oxide-tunneling leakage in sub-65-nm SRAMs. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Sarvesh Bhardwaj, Sarma B. K. Vrudhula Leakage Minimization of Digital Circuits Using Gate Sizing in the Presence of Process Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Sarvesh Bhardwaj, Sarma B. K. Vrudhula, Amit Goel A Unified Approach for Full Chip Statistical Timing and Leakage Analysis of Nanoscale Circuits Considering Intradie Process Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Matteo Agostinelli, Massimo Alioto, David Esseni, Luca Selmi Design and Evaluation of Mixed 3T-4T FinFET Stacks for Leakage Reduction. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Ashoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Temporal Discharge Current Driven Clustering for Improved Leakage Power Reduction in Row-Based Power-Gating. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Marc Bartels, Amit Joshi, John C. Rasmussen, Wolfgang Bangerth, Eva M. Sevick-Muraca Post image acquisition mitigation of excitation light leakage in patterned illumination based NIR fluorescence tomography. Search on Bibsonomy ISBI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Josef Haid, Bernd Zimek, Thomas Leutgeb, Thomas Künemund Impact of Leakage Current on Data Retention of RF-powered Devices During Amplitude-Modulation-based Communication. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Siddharth Garg, Diana Marculescu System-level mitigation of WID leakage power variability using body-bias islands. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design, algorithms
34Lang Lin, Wayne P. Burleson Leakage-based differential power analysis (LDPA) on sub-90nm CMOS cryptosystems. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Masud H. Chowdhury, Juliana Gjanci, Pervez Khaled Innovative power gating for leakage reduction. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Jung Hwan Choi, Aditya Bansal, Mesut Meterelliyoz, Jayathi Murthy, Kaushik Roy 0001 Self-Consistent Approach to Leakage Power and Temperature Estimation to Predict Thermal Runaway in FinFET Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Olga Golubeva, Mirko Loghi, Massimo Poncino, Enrico Macii Architectural leakage-aware management of partitioned scratchpad memories. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Yulai Zhao 0003, Xianfeng Li, Dong Tong 0001, Xu Cheng 0001 Reuse Distance Based Cache Leakage Control. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Drowsy Cache Technique, Temporal Locality, Reuse Distance
34Han Chen, Pasquale Malacaria Quantitative analysis of leakage for multi-threaded programs. Search on Bibsonomy PLAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-threaded languages, security, information theory
34Kyung Ki Kim, Yong-Bin Kim Optimal Body Biasing for Minimum Leakage Power in Standby Mode. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Volkan Kursun, Sherif A. Tawfik, Zhiyu Liu Leakage-Aware Design of Nanometer SoC. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Takashi Sato, Takumi Uezono, Shiho Hagiwara, Kenichi Okada, Shuhei Amakawa, Noriaki Nakayama, Kazuya Masu A MOS Transistor-Array for Accurate Measurement of Subthreshold Leakage Variation. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Minh Quang Do, Mindaugas Drazdziulis, Per Larsson-Edefors, Lars Bengtsson Leakage-Conscious Architecture-Level Power Estimation for Partitioned and Power-Gated SRAM Arrays. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Sarvesh Bhardwaj, Sarma B. K. Vrudhula A Fast and Accurate approach for Full Chip Leakage Analysis of Nano-scale circuits considering Intra-die Correlations. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Yuanlin Lu, Vishwani D. Agrawal Statistical Leakage and Timing Optimization for Submicron Process Variation. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Fabio Frustaci, Pasquale Corsonello, Stefania Perri, Giuseppe Cocorullo Techniques for Leakage Energy Reduction in Deep Submicrometer Cache Memories. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Orlando José Tobias, Rui Seara On the LMS algorithm with constant and variable leakage factor in a nonlinear environment. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Guangyu Chen, Feihui Li, Mahmut T. Kandemir, Ozcan Ozturk 0001, I. Demirkiran Compiler-Directed Management of Leakage Power in Software-Managed Memories. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Saraju P. Mohanty, Ramakrishna Velagapudi, Elias Kougianos Physical-aware simulated annealing optimization of gate leakage in nanoscale datapath circuits. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Behnam Amelifard, Farzan Fallah, Massoud Pedram Reducing the sub-threshold and gate-tunneling leakage of SRAM cells using Dual-Vt and Dual-Tox assignment. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Lin Yuan, Sean Leventhal, Gang Qu 0001 Temperature-aware leakage minimization technique for real-time systems. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Kwang-Il Oh, Seunghyun Cho, Lee-Sup Kim A low power SoC bus with low-leakage and low-swing technique. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Aswath Oruganti, Nagarajan Ranganathan Leakage Power Reduction in Dual-Vdd and Dual-Vth Designs through Probabilistic Analysis of Vth Variation. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Weiping Liao, Joseph M. Basile, Lei He 0001 Microarchitecture-level leakage reduction with data retention. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Mahmut T. Kandemir, Mary Jane Irwin, Guangyu Chen, Ibrahim Kolcu Compiler-guided leakage optimization for banked scratch-pad memories. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34R. Iris Bahar, Hui-Yuan Song, Kundan Nepal, Joel Grodstein Symbolic failure analysis of complex CMOS circuits due to excessive leakage current and charge sharing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Wei Zhang 0002, Mahmut T. Kandemir, Mustafa Karaköy, Guangyu Chen Reducing data cache leakage energy using a compiler-based approach. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF array-intensive applications, pointer-intensive applications, data caches, energy optimization, Compiler analysis
34Yan Meng, Timothy Sherwood, Ryan Kastner On the Limits of Leakage Power Reduction in Caches. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Jingjing Fu, Zuying Luo, Xianlong Hong, Yici Cai, Sheldon X.-D. Tan, Zhu Pan VLSI on-chip power/ground network optimization considering decap leakage currents. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Rajarshee P. Bharadwaj, Rajan Konar, Poras T. Balsara, Dinesh Bhatia Exploiting temporal idleness to reduce leakage power in programmable architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Chun-Yang Chen, Chia-Lin Yang, Shih-Hao Hung Cache Leakage Management for Multi-programming Workloads. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Guang-Wan Liao, Ja-Shong Feng, Rung-Bin Lin A divide-and-conquer approach to estimating minimum/maximum leakage current. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Hangbae Chang, Kyung-kyu Kim Design of Inside Information Leakage Prevention System in Ubiquitous Computing Environment. Search on Bibsonomy ICCSA (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Afshin Abdollahi, Farzan Fallah, Massoud Pedram Analysis and Optimization of Static Power Considering Transition Dependency of Leakage Current in VLSI Circuits. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Ankur Goel, Baquer Mazhari Gate Leakage and Its Reduction in Deep Submicron SRAM. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Yuh-Fang Tsai, D. E. Duarte, Narayanan Vijaykrishnan, Mary Jane Irwin Characterization and modeling of run-time techniques for leakage power reduction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Huifang Qin, Yu Cao, Dejan Markovic, Andrei Vladimirescu, Jan M. Rabaey SRAM Leakage Suppression by Minimizing Standby Supply Voltage. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Wei Zhang 0002, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Vivek De Compiler Support for Reducing Leakage Energy Consumption. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Imad A. Ferzli, Farid N. Najm Statistical Verification of Power Grids Considering Process-Induced Leakage Current Variations. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Hui-Yuan Song, S. Bohidar, R. Iris Bahar, Joel Grodstein Symbolic Failure Analysis of Custom Circuits due to Excessive Leakage Current. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Dongwoo Lee, Wesley Kwong, David T. Blaauw, Dennis Sylvester Simultaneous Subthreshold and Gate-Oxide Tunneling Leakage Current Analysis in Nanometer CMOS Design. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Afshin Abdollahi, Farzan Fallah, Massoud Pedram Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Vijay Degalahal, Narayanan Vijaykrishnan, Mary Jane Irwin Analyzing Soft Errors in Leakage Optimized SRAM Design. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Chandramouli Gopalakrishnan, Srinivas Katkoori Resource Allocation and Binding Approach for Low Leakage Power. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Wei Zhang 0002, Jie S. Hu, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin Compiler-directed instruction cache leakage optimization. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34S. H. Tadas, Chaitali Chakrabarti Architectural approaches to reduce leakage energy in caches. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Zhanping Chen, Liqiong Wei, Kaushik Roy 0001 On effective IDDQ testing of low-voltage CMOS circuits using leakage control techniques. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Wei Zhang 0002, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, David Duarte, Yuh-Fang Tsai Exploiting VLIW schedule slacks for dynamic and leakage energy reduction. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Stefanos Kaxiras, Zhigang Hu, Girija J. Narlikar, Rae McLellan Cache-Line Decay: A Mechanism to Reduce Cache Leakage Power. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Zhanping Chen, Liqiong Wei, Kaushik Roy 0001 On Effective IDDQ Testing of Low Voltage CMOS Circuits Using Leakage Control Techniques. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
33Andrea Calimera, Mirko Loghi, Enrico Macii, Massimo Poncino Dynamic indexing: concurrent leakage and aging optimization for caches. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage optimization, memory hierarchy, aging, NBTI
33Mingzhi Gao, Zuochang Ye, Yan Wang 0023, Zhiping Yu Efficient tail estimation for massive correlated log-normal sums: with applications in statistical leakage analysis. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF comonotonicity, fast correlation transform, statistical leakage analysis, tail behavior
33Pepijn J. de Langen, Ben H. H. Juurlink Leakage-Aware Multiprocessor Scheduling. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Scheduling, Multiprocessor, Leakage power, Voltage scaling
33Andrea Calimera, Enrico Macii, Massimo Poncino NBTI-aware power gating for concurrent leakage and aging optimization. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF aging, leakage, power-gating, nbti
33Kiyoo Itoh 0001 Leakage- and variability-conscious circuit designs for the 0.5-v nanoscale CMOS era. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 0.5-v nanoscale cmos lsis, conventional mosfet, minimum vdd, speed variation, vt variation, leakage, sram, dram, finfet
33Sherief Reda, Aung Si, R. Iris Bahar Reducing the leakage and timing variability of 2D ICcs using 3D ICs. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 3D integrated circuit, timing, variability, leakage
33Bilge Mutlu, Fumitaka Yamaoka, Takayuki Kanda 0001, Hiroshi Ishiguro, Norihiro Hagita Nonverbal leakage in robots: communication of intentions through seemingly unintentional behavior. Search on Bibsonomy HRI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF geminoid, humanlikeness, nonverbal leakage, robovie, gaze, nonverbal behavior
33Ziguo Zhong, Ting Zhu 0001, Tian He 0001, Zhi-Li Zhang Leakage-aware energy synchronization on twin-star nodes. Search on Bibsonomy SenSys The full citation details ... 2008 DBLP  DOI  BibTeX  RDF energy synchronization, twin-star, leakage
33Shaobo Liu, Qinru Qiu, Qing Wu 0002 Full-chip leakage current estimation based on statistical sampling techniques. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF leakage estimation, statistical sampling, vlsi
33Sandeep Gupta, Jaya Singh, Abhijit Roy A Novel Cell-Based Heuristic Method for Leakage Reduction in Multi-Million Gate VLSI Designs. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Dual-Vt Technology, Cell-Based Approach, Cell-swapping, Leakage Power
33Vivek Joshi, Brian Cline, Dennis Sylvester, David T. Blaauw, Kanak Agarwal Leakage power reduction using stress-enhanced layouts. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance, mobility, layout, leakage, stress
33Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry A Timing-Driven Algorithm for Leakage Reduction in MTCMOS FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.13 micron, timing-driven algorithm, MTCMOS FPGA, MTCMOS CAD methodology, subthreshold leakage power reduction, nanometer FPGA, circuit timing information, CMOS process
33Jeegar Tilak Shah, Marius Evers, Jeff Trull, Alper Halbutogullari Circuit optimization for leakage power reduction using multi-threshold voltages for high performance microprocessors. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-VTH, optimization, timing, low-power design, microprocessor, EDA, leakage power, sizing
33Raphael C.-W. Phan, Kim-Kwang Raymond Choo, Swee-Huay Heng Security of a Leakage-Resilient Protocol for Key Establishment and Mutual Authentication. Search on Bibsonomy ProvSec The full citation details ... 2007 DBLP  DOI  BibTeX  RDF mutual athentication, leakage-resilient, Key establishment
33Amit Agarwal 0001, Saibal Mukhopadhyay, Arijit Raychowdhury, Kaushik Roy 0001, Chris H. Kim Leakage Power Analysis and Reduction for Nanoscale Circuits. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanoscale circuits, CMOS, technology scaling, leakage power reduction
33Javid Jaffari, Mohab Anis Variability-aware device optimization under ION and leakage current constraints. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF device design, optimization, performance, process variation, leakage current
33Georges Nabaa, Navid Azizi, Farid N. Najm An adaptive FPGA architecture with process variation compensation and reduced leakage. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, process variations, leakage, body-biasing
33Kanupriya Gulati, Nikhil Jayakumar, Sunil P. Khatri An algebraic decision diagram (ADD) based technique to find leakage histograms of combinational designs. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF BDD, leakage, ADD
33Ashish Srivastava, Saumil Shah, Kanak Agarwal, Dennis Sylvester, David T. Blaauw, Stephen W. Director Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF correlation, variability, yield, leakage
33Linwei Niu, Gang Quan Reducing both dynamic and leakage energy consumption for hard real-time systems. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded system, low power design, DVS, real-time scheduling, leakage power reduction
33Pietro Babighian, Luca Benini, Alberto Macii, Enrico Macii Post-layout leakage power minimization based on distributed sleep transistor insertion. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sub-threshold current, leakage power, sleep transistor
33Harmander Deogun, Rajeev R. Rao, Dennis Sylvester, David T. Blaauw Leakage-and crosstalk-aware bus encoding for total power reduction. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power, encoding, leakage reduction
33Anirban Basu, Sheng-Chih Lin, Vineet Wason, Amit Mehrotra, Kaustav Banerjee Simultaneous optimization of supply and threshold voltages for low-power and high-performance circuits in the leakage dominant era. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF electrothermal couplings, temperature aware design, subthreshold leakage, energy delay product
33Yuh-Fang Tsai, David Duarte, Narayanan Vijaykrishnan, Mary Jane Irwin Implications of technology scaling on leakage reduction techniques. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, technology scaling, leakage reduction
30Wihem Arsac, Luca Compagna, Samuel Paul Kaluvuri, Serena Elisa Ponta Security validation tool for business processes. Search on Bibsonomy SACMAT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 7472 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license