The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for finfet with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2003-2006 (17) 2007 (17) 2008 (19) 2009 (20) 2010 (27) 2011 (18) 2012 (31) 2013 (32) 2014 (77) 2015 (105) 2016 (86) 2017 (81) 2018 (122) 2019 (118) 2020 (94) 2021 (105) 2022 (100) 2023 (104) 2024 (18)
Publication types (Num. hits)
article(395) book(2) incollection(1) inproceedings(790) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 59 occurrences of 34 keywords

Results
Found 1191 publication records. Showing 1191 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Bhavya Kumar, Megha Sharma, Rishu Chaujar Gate electrode work function engineered JAM-GS-GAA FinFET for analog/RF applications: Performance estimation and optimization. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Abhishek Ray, Alok Naugarhiya, Guru Prasad Mishra Total ionizing dose effect of bulk and SOI P-FinFET with linear workfunction modulation technology. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Kallolini Banerjee, Abhijit Biswas Enhanced analog/RF performance of hybrid charge plasma based junctionless C-FinFET amplifiers at 10 nm technology node. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Vipin Kumar Sharma, Mohammad Samar Ansari, Tahira Parveen FinFET-based non-linear analog signal processing modules. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Sunitha Bhukya, Bheema Rao Nistala Design optimization of junctionless bottom spacer tapered FinFET: Device to circuit level implementation. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Sameer Yadav, P. N. Kondekar, Bhaskar Awadhiya Performance estimation of non-hysteretic negative capacitance FinFET based SRAM. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Bhavya Kumar, Megha Sharma, Rishu Chaujar Junctionless-accumulation-mode stacked gate GAA FinFET with dual-k spacer for reliable RFIC design. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Zhijia Zhao 0005, Yuxi Wei, Tao Sun, Kemeng Yang, Jie Wei, Yanjiang Jia, Siyu Deng, Xiaorong Luo, Bo Zhang 0027 High voltage GaN vertical FinFET with a compatible integrated fin diode for low reverse conduction loss. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Pushkar Praveen, R. K. Singh Design of Enhanced Reversible 9T SRAM Design for the Reduction in Sub-threshold Leakage Current with14nm FinFET Technology. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Andrada Muntean, Yonatan Shoshan, Slava Yuzhaninov, Emanuele Ripiccini, Claudio Bruschini, Alexander Fish, Edoardo Charbon On-chip fully reconfigurable Artificial Neural Network in 16 nm FinFET for Positron Emission Tomography. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14M. V. Nageswara Rao, Mamidipaka Hema, Ramakrishna Raghutu, Ramakrishna S. S. Nuvvula, Polamarasetty P. Kumar, Ilhami Colak, Baseem Khan Design and Development of Efficient SRAM Cell Based on FinFET for Low Power Memory Applications. Search on Bibsonomy J. Electr. Comput. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Bo Zhao, Minghui Yin, Weihua Zhang, Hongwei Liu, Zhiqiang Li Design of a variable precision CORDIC coprocessor for RISC-V architecture based on FinFET process. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Bart Philippe, Patrick Reynaert Mm-wave Circuit Design in 16nm FinFET for 6G Applications Search on Bibsonomy 2023   DOI  RDF
14Athanasios T. Ramkaj, Marcel J. M. Pelgrom, Michiel S. J. Steyaert, Filip Tavernier Multi-Gigahertz Nyquist Analog-to-Digital Converters - Architecture and Circuit Innovations in Deep-Scaled CMOS and FinFET Technologies, 3 Search on Bibsonomy 2023   DOI  RDF
14Meghna Madhusudan, Jitesh Poojary, Arvind K. Sharma, Ramprasath S 0001, Kishor Kunal, Sachin S. Sapatnekar, Ramesh Harjani Understanding Distance-Dependent Variations for Analog Circuits in a FinFET Technology. Search on Bibsonomy ESSDERC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Valentina Marazzi, Enrico Monaco, Claudio Nani, Danilo Manstretta Analysis and Design of a Low Power Double Tail Comparator with Dynamic Bias in 5nm FinFET Technology. Search on Bibsonomy PRIME The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Ying-Ru Xiong, Yu-Hsien Lin Comparison of Conventional I-Type and T-Type FinFET. Search on Bibsonomy ICCE-Taiwan The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Shun Nagata, Ewout Martens, Adam Cooman, Jan Craninckx A 28GHz Low Jitter, Low Power Fully Differential Self-Biased Clock Buffer with Embedded Low Pass Filter Utilizing Enable Switch in 16nm FinFET. Search on Bibsonomy MWSCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Shayesteh Masoumian, Roel Maes, Rui Wang, Karthik Keni Yerriswamy, Geert Jan Schrijen, Said Hamdioui, Mottaqiallah Taouil Modeling and Analysis of SRAM PUF Bias Patterns in 14nm and 7nm FinFET Technology Nodes. Search on Bibsonomy VLSI-SoC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Taiki Uemura, Byungjin Chung, Shin-Young Chung, Seungbae Lee, Yuchul Hwang, Sangwoo Pae Impact of Design and Process on Alpha-Induced SER in 4 nm Bulk-FinFET SRAM. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Emmanuel Bender, Joseph B. Bernstein, Duane S. Boning The Effects of Process Variations and BTI in Packaged FinFET Devices. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Balaji Narasimham, H. Luk, C. Paone, A-R. Montoya, T. Riehle, Mike Smith, Liming Tsau Scaling Trends and the Effect of Process Variations on the Soft Error Rate of advanced FinFET SRAMs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Zixuan Sun, Haoran Lu, Yongkang Xue, Wenpu Luo, Zirui Wang, Jiayang Zhang, Zhigang Ji, Runsheng Wang, Ru Huang Investigation of Hot Carrier Enhanced Body Bias Effect in Advanced FinFET Technology. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Ri-an Zhao, Matthew Koskinen, Yang Liu, Xinggong Wan Voltage Ramp Stress Test Optimization for Wafer Level Hot Carrier Monitoring in FinFET. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Yoni Xiong, Yueh Chiang, Nicholas J. Pieper, Dennis R. Ball, Bharat L. Bhuva Soft Error Rate Predictions for Terrestrial Neutrons at the 3-nm Bulk FinFET Technology. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14M. Jamil, S. Mukhopadhay, M. Ghoneim, A. Shailos, Chetan Prasad, Inanc Meric, Stephen Ramey Reliability Studies on Advanced FinFET Transistors of the Intel 4 CMOS Technology. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Manisha Sharma, Hokyung Park, Yinghong Zhao, Ki-Don Lee, Liangshan Chen, Joonah Yoon, Rakesh Ranjan, Caleb Dongkyan Kwon, Hyewon Shim, Myungsoo Yeo, Shin-Young Chung, Jon Haefner Polarity Dependency of MOL-TDDB in FinFET. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Nicholas J. Pieper, Yoni Xiong, Dennis R. Ball, J. Pasternak, Bharat L. Bhuva Effects of Collected Charge and Drain Area on SE Response of SRAMs at the 5-nm FinFET Node. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Navneet Gandhi, Rajeewa Kumar Jaisawal, Sunil Rathore, P. N. Kondekar, Ankit Dixit, Navneen Kumar, Vihar Georgiev, Navjeet Bagga Gate Oxide Induced Reliability Assessment of Junctionless FinFET-Based Hydrogen Gas Sensor. Search on Bibsonomy SENSORS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Santiago S. Pérez, Alessandro Bedoya, Luis-Miguel Prócel, Ramiro Taco Performance Benchmarking of FinFET- and TFET-Based STT-MRAM Bitcells Operating at Ultra-Low Voltages. Search on Bibsonomy LASCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Lorenzo Stevenazzi, Andrea Baschirotto, Marcello De Matteis Static Noise Margin in 16 nm FinFET 6T and 8T SRAM Cells for Compute-in-Memory. Search on Bibsonomy ICECS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Sriraj Chennamadhavuni, Shara Mathew, Rathnamala Rao An Exploration of the Effective Path for Current Conduction in a Triple Gate Junctionless FinFET. Search on Bibsonomy TENCON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Xinshu Xie, Jiongzhe Su, Hao Zhang, Hao Cai A FinFET Integrated STT-MRAM with Triple Balanced Access Strategy. Search on Bibsonomy ICTA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Guangao Wang, Qi Xiao, Haigang Feng, Jingjing Dong A 0.9V 1.2GHz-BW 15.8dBm-OIP3 Current Mode Analog Baseband for Broadband Receivers in 12nm FinFET CMOS. Search on Bibsonomy ICTA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Mattias Palm, Daniele Mastantuono, Christer Jansson, Erik Backenius, Nikola Ivanisevic, Mikael Normark, Prakash Harikumar, My-Chien Yee, Andreas Leidenhed, Roland Strandberg, Sunny Sharma, Hanie Ghaedrahmati, Martin Anderson, Peter Nygren, Peter Sjögren, Erik Säll, Robert Hägglund, Lars Sundström A 12/16 GSps Time-Interleaved Pipelined-SAR ADC with Temperature Robust Performance at 0.75V Supply in 7nm FinFET Technology. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Huanhuan Zhang, Alexander Lyakhov, Arvind Raghavan A 4nm FinFET 0.8V 13ppm/°C Switched Capacitor Based Current Mode Bandgap Reference. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Milad Haghi Kashani, Hossein Shakiba, Ali Sheikholeslami A 0.82pJ/b 50Gb/s PAM4 VCSEL Driver with 3-Tap Asymmetric FFE in 12nm CMOS FinFET Process. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Lida Kouhalvandi, Eva Catoggio, Simona Donati Guerrieri Synergic Exploitation of TCAD and Deep Neural Networks for Nonlinear FinFET Modeling. Search on Bibsonomy EUROCON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Yoshiaki Osada, Takaaki Nakazato, Koji Nii, Jhon-Jhy Liaw, Shien-Yang Michael Wu, Quincy Li, Hidehiro Fujiwara, Hung-Jen Liao, Tsung-Yung Jonathan Chang 3.7-GHz Multi-Bank High-Current Single-Port Cache SRAM with 0.5V-1.4V Wide Voltage Range Operation in 3nm FinFET for HPC Applications. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Jonathan Chang, Yen-Huei Chen, Gary Chan, Kuo-Cheng Lin, Po-Sheng Wang, Yangsyu Lin, Sevic Chen, Peijiun Lin, Ching-Wei Wu, Chih-Yu Lin, Yi-Hsin Nien, Hidehiro Fujiwara, Atul Katoch, Robin Lee, Hung-Jen Liao, Jhon-Jhy Liaw, Shien-Yang Michael Wu, Quincy Li A 3nm 256Mb SRAM in FinFET Technology with New Array Banking Architecture and Write-Assist Circuitry Scheme for High-Density and Low-VMIN Applications. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Kihwang Son, Seulki Park, Kyunghoon Jung, Jun-Gyu Kim, Younggun Ko, Keonyong Cheon, Changkeun Yoon, Jiho Kim, Jaehun Jeong, Taehun Myung, Changmin Hong, Weonwi Jang, Min-Chul Sun, Sungil Jo, Ju-Youn Kim, Byungmoo Song, Yuri Yasuda-Masuoka, Ja-Hum Ku, Gitae Jeong Highly Reliable/Manufacturable 4nm FinFET Platform Technology (SF4X) for HPC Application with Dual-CPP/HP-HD Standard Cells. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14S. Ko, J. H. Park, J. H. Bak, H. Jung, J. Shim, D. S. Kim, W. Lim, D.-E. Jeong, J. H. Lee, K. Lee, J.-H. Park, Y. Kim, C. Kim, J. H. Jeong, C. Y. Lee, S. H. Han, Y. Ji, S. H. Hwang, H. J. Shin, Y. J. Song, Y. G. Shin, J. H. Song Highly Reliable and Manufacturable MRAM embedded in 14nm FinFET node. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Jeongkyun Kim, Byungho Yook, Taemin Choi, Kyuwon Choi, Chanho Lee, Yunrong Li, Youngo Lee, Seok Yun, Changhoon Do, Hoyoung Tang, Inhak Lee, Dongwook Seo, Sangyeop Baeck A 4.0GHz UHS Pseudo Two-port SRAM with BL Charge Time Reduction and Flying Word-Line for HPC Applications in 4nm FinFET Technology. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Po-Hsuan Chang, Anirban Samanta, Peng Yan, Mingye Fu, Yu Zhang, Mehmet Berkay On, Ankur Kumar, Hyungryul Kang, Il-Min Yi, Dedeepya Annabattuni, David Scott, Robert Patti, Yang-Hang Fan, Yuanming Zhu, S. J. Ben Yoo, Samuel Palermo A Sub-500fJ/bit 3D Direct Bond Silicon Photonic Transceiver in 12nm FinFET. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Rishabh Mittal, Hajime Shibata, Sharvil Patil, Erik Krommenhoek, Prawal Shrestha, Gabriele Manganaro, Anantha P. Chandrakasan, Hae-Seung Lee A 6.4-GS/s 1-GHz BW Continuous-Time Pipelined ADC with Time-Interleaved Sub-ADC-DAC Achieving 61.7-dB SNDR in 16-nm FinFET. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14N. Jungmann, R. Joshi, E. Kachir, K. Shimanovich, B. He, T. Cohen, T. Miller, D. Leu, Dinesh Kannambadi, I. Wagner, Kenneth Reyer, H. Konen, M. Suleiman, V. Sindhe, Y. Freiman A 1.9GHz 0.57V Vmin 576Kb embedded product-ready L2 cache in 5nm FinFET technology. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Fan-Wei Liao, Shan-Chih Tsou, Chien-Sheng Chao A 6nW 30.8kHz Relaxation Oscillator with Sampling Bias-Free RC Circuit and Dynamic Power Scaling in a 12nm FinFET. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Milad Haghi Kashani, Hossein Shakiba, Ali Sheikholeslami A 0.32pJ/b 90Gbps PAM4 Optical Receiver Front-End with Automatic Gain Control in 12nm CMOS FinFET. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Jaehong Jung, Kyungmin Lee, Gunwoo Kong, Baekmin Lim, Seungjin Kim, Seunghyun Oh, Jongwoo Lee A 2.4-to-4.2GHz 440.2fsrms-Integrated-Jitter 4.3mW Ring-Oscillator-Based PLL Using a Switched-Capacitor-Bias-Based Sampling PD in 4nm FinFET CMOS. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Il-Min Yi, Srujan Kumar Kaile, Yuanming Zhu, Julian Camilo Gomez Diaz, Sebastian Hoyos, Samuel Palermo A 50Gb/s DAC-Based Multicarrier Polar Transmitter in 22nm FinFET. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Fei Gao 0016, Ting-Jung Chang, Ang Li, Marcelo Orenes-Vera, Davide Giri, Paul J. Jackson, August Ning, Georgios Tziantzioulis, Joseph Zuckerman, Jinzheng Tu 0001, Kaifeng Xu, Grigory Chirkov, Gabriele Tombesi, Jonathan Balkind, Margaret Martonosi, Luca P. Carloni, David Wentzlaff DECADES: A 67mm2, 1.46TOPS, 55 Giga Cache-Coherent 64-bit RISC-V Instructions per second, Heterogeneous Manycore SoC with 109 Tiles including Accelerators, Intelligent Storage, and eFPGA in 12nm FinFET. Search on Bibsonomy CICC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Chien-Kai Kao, Shih-Che Hung, Tse-Hsien Yeh, Chen-Yu Hsiao A 37.8dB Channel Loss 0.6μs Lock Time CDR with Flash Frequency Acquisition in 5nm FinFET. Search on Bibsonomy ISSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Henry Park, Mohammed Abdullatif, Ehung Chen, Ahmed Elmallah, Qaiser Nehal, Miguel Gandara, Tsz-Bin Liu, Amr Khashaba, Joonyeong Lee, Chih-Yi Kuan, Dhinessh Ramachandran, Ruey-Bo Sun, Atharav Atharav, Yusang Chun, Mantian Zhang, Deng-Fu Weng, Chung-Hsien Tsai, Chen-Hao Chang, Chia-Sheng Peng, Sheng-Tsung Hsu, Tamer A. Ali 0001 A 4.63pJ/b 112Gb/s DSP-Based PAM-4 Transceiver for a Large-Scale Switch in 5nm FinFET. Search on Bibsonomy ISSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Bo Zhang 0029, Anand Vasani, Ashutosh Sinha, Alireza Nilchi, Haitao Tong, Lakshmi P. Rao, Karapet Khanoyan, Hamid Hatamkhani, Xiaochen Yang, Xin Meng, Alexander Wong, Jun Kim, Ping Jing, Yehui Sun, Ali Nazemi, Dean Liu, Anthony Brewster, Jun Cao 0001, Afshin Momtaz A 112Gb/s Serial Link Transceiver With 3-tap FFE and 18-tap DFE Receiver for up to 43dB Insertion Loss Channel in 7nm FinFET Technology. Search on Bibsonomy ISSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Bo-Jr Huang, Alfred Tsai, Lear Hsieh, Kathleen Chang, C.-J. Tsai, Jia-Ming Chen, Eric Jia-Wei Fang, Sung S.-Y. Hsueh, Jack Ciao, Barry Chen, Chuck Chang, Ping Kao, Ericbill Wang, Harry H. Chen, Hugh Mair, Shih-Arn Hwang A 5G Mobile Gaming-Centric SoC with High-Performance Thermal Management in 4nm FinFET. Search on Bibsonomy ISSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Abhishek Agrawal, Amy Whitcombe, Woorim Shin, Ritesh Bhat, Somnath Kundu, Peter Sagazio, Hariprasad Chandrakumar, Thomas William Brown, Brent R. Carlton, Christopher D. Hull, Steven Callender, Stefano Pellerano A 128Gb/s 1.95pJ/b D-Band Receiver with Integrated PLL and ADC in 22nm FinFET. Search on Bibsonomy ISSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Waqas Gul, Maitham Shams, Dhamin Al-Khalili FinFET 6T-SRAM Compute-in-Memory Targeting Low Power Neural Networks Operations. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Graham Gobieski, Oguz Atli, Cagri Erbagci, Ken Mai, Nathan Beckmann, Brandon Lucia MANIC: A $19\mu\mathrm{W}$ @ 4MHz, 256 MOPS/mW, RISC-V microcontroller with embedded MRAM main memory and vector-dataflow co-processor in 22nm bulk finFET CMOS. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Bernardo Borges Sandoval, Leonardo Heitich Brendler, Fernanda Lima Kastensmidt, Ricardo Reis 0001, Alexandra L. Zimpeck, Rafael B. Schvittz, Cristina Meinhardt Impact on Radiation Robustness of Gate Mapping in FinFET Circuits under Work-function Fluctuation. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Anirban Samanta, Po-Hsuan Chang, Peng Yan, Mingye Fu, Mehmet Berkay On, Ankur Kumar, Hyungryul Kang, Il-Min Yi, Dedeepya Annabattuni, Yu Zhang, David Scott, Robert Patti, Yang-Hang Fan, Yuanming Zhu, Samuel Palermo, S. J. Ben Yoo A Direct Bond Interconnect 3D Co-Integrated Silicon-Photonic Transceiver in 12nm FinFET with -20.3dBm OMA Sensitivity and 691fJ/bit. Search on Bibsonomy OFC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Víctor H. Champac, Freddy Forero, Michel Renovell, Leonardo Miceli A New Defect Model due to a Dust Particle Affecting the Fingers of FinFET Logic Gates. Search on Bibsonomy LATS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Devender Pal Singh, Priyanka Yadav, Menka Yadav A 2-bit Multiplication Operation using Si-SiGe-Si Channel FinFET 8T-SRAM Cell. Search on Bibsonomy iSES The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Bashetty Vishnu Vardhan, MD Khadir, Kulkarni Sunnyhith, Sriharsha Paruchuri Design and Implementation of Low Power NAND Gate Based Combinational Circuits Using FinFET Technique. Search on Bibsonomy ICCCNT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14K. L. V. Ramana Kumari, L. Padma Sree, Penumala Raji Design of Dynamic comparator using CMOS and FINFET technologies. Search on Bibsonomy ICCCNT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Shivendra Singh Parihar, Simon Thomann, Girish Pahwa, Yogesh Singh Chauhan, Hussam Amrouch 5nm FinFET Cryogenic SRAM Evaluation for Quantum Computing. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Matthias Sinnwell, Michael Dammann, Rachid Driad, Sebastian Krause, Stefano Leone, Michael Mikulla, Rüdiger Quay Normally-off quasi-vertical GaN FinFET on SiC substrate with record small-signal current gain of $\mathrm{f}_{\mathrm{t}}=10.2$ GHz. Search on Bibsonomy DRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Umme Rani Irin, Sajib Barua, Md Minhajul Azmir, Tasnuva Hassan, Dewan Mohammed Process Variation's Effect on Various Threshold Voltage Assignments in 6T SRAM Designs Using 12nm FinFET Technology. Search on Bibsonomy CCWC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Elif Demirci, Sinem Keles Low Voltage FinFET Based OTA. Search on Bibsonomy RADIOELEKTRONIKA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Thota Pranay Kumar, Siva Kumar Rapina, Bheema Rao Nistala A 16Gbps 3rd Order CTLE Design for Serial Links with High Channel Loss in 16nm FinFET. Search on Bibsonomy VLSID The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Saurabh Goyal, Sanjay Kumar Wadhwa, Divya Tripathi, Gaurav Agrawal, Krishna Thakur, Deependra Kumar Jain, Alvin Leng Sun Loke, Atul Kumar, Manish Kumar Upadhyay, Bhawna, Sanjoy Kumar Dey Design Challenges and Techniques for 5nm FinFET CMOS Analog/Mixed-Signal Circuits. Search on Bibsonomy VLSID The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Vinay Kumar, Vijay Sahu, Ambar Khanda, Sudhir Kumar Dynamic Keeper for 1R1W 8T-SRAM to Enable Read Operation at 150c till 0.5v in 5nm FinFET. Search on Bibsonomy VLSID The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Krishnan Sukumar, Santosh Vodnala, Ravindra Ayyagari, Animesh Jain, Thanapandi Ganesan, Rajesh R Surmounting Challenges in the Design of Low Power Real Time Clock IP for Advanced FinFET Technology Nodes. Search on Bibsonomy VLSID The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Jyoti Patel, Govind Sharma, Chitraja Rajan, Vivek Kumar, Sudeb Dasgupta Power Efficient Hardware Fingerprint: Exploiting Process-Variations in A Quasi-Planar 14nm FinFET. Search on Bibsonomy APCCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Jhih-Ying Ke, Lean Karlo S. Tolentino, Cheng-Yao Lo, Tzung-Je Lee, Chua-Chin Wang A 2.6-GHz I/O Buffer for DDR4 & DDR5 SDRAMs in 16-nm FinFET CMOS Process. Search on Bibsonomy APCCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Saeid Daneshgar, Hao Li 0047, Taehwan Kim, Ganesh Balamurugan A 128 Gb/s, 11.2 mW Single-Ended PAM4 Linear TIA With 2.7 μArms Input Noise in 22 nm FinFET CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14James Bailey 0002, Hossein Shakiba, Ehud Nir, Grigory Marderfeld, Peter Krotnev, Marc-Andre LaCroix, David Cassan, Davide Tonietto A 112-Gb/s PAM-4 Low-Power Nine-Tap Sliding-Block DFE in a 7-nm FinFET Wireline Receiver. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Chi Fung Poon, Wenfeng Zhang, Junho Cho, Shaojun Ma, Yipeng Wang 0003, Ying Cao 0010, Asma Laraba, Eugene Ho, Winson Lin, Zhaoyin Daniel Wu, Kee Hian Tan, Parag Upadhyaya, Yohan Frans A 1.24-pJ/b 112-Gb/s (870 Gb/s/Mm) Transceiver for In-Package Links in 7-nm FinFET. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Hao Luo, Somnath Kundu, Timo Huusari, Sarah Shahraini, Eduardo Alban, Jason Mix, Nasser A. Kurd, Mohamed Abdel-Moneum, Brent R. Carlton A Fast Startup Crystal Oscillator Using Impedance Guided Chirp Injection in 22 nm FinFET CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Steven Callender, Abhishek Agrawal, Amy Whitcombe, Ritesh Bhat, Mustafijur Rahman, Chun C. Lee, Peter Sagazio, Georgios C. Dogiamis, Brent R. Carlton, Christopher D. Hull, Stefano Pellerano A Fully Integrated 160-Gb/s D-Band Transmitter Achieving 1.1-pJ/b Efficiency in 22-nm FinFET. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Sudipto Chakraborty, David J. Frank, Kevin Tien, Pat Rosno, Mark Yeck, Joseph A. Glick, Raphael Robertazzi, Ray Richetta, John F. Bulzacchelli, Devin Underwood, Daniel Ramirez, Dereje Yilma, Andrew Davies, Rajiv V. Joshi, Shawn D. Chambers, Scott Lekuch, Ken Inoue, Dorothy Wisnieff, Christian W. Baks, Donald S. Bethune, John Timmerwilke, Thomas Fox, Peilin Song, Blake R. Johnson, Brian P. Gaucher, Daniel J. Friedman A Cryo-CMOS Low-Power Semi-Autonomous Transmon Qubit State Controller in 14-nm FinFET Technology. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Jihwan Kim, Sandipan Kundu, Ajay Balankutty, Matthew Beach, Bong Chan Kim, Stephen T. Kim, Yutao Liu, Savyasaachi Keshava Murthy, Priya Wali, Kai Yu 0016, Hyung Seok Kim, Chuanchang Liu, Dongseok Shin, Ariel Cohen 0001, Yoav Segal, Yongping Fan, Peng Li, Frank O'Mahony A 224-Gb/s DAC-Based PAM-4 Quarter-Rate Transmitter With 8-Tap FFE in 10-nm FinFET. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Nandish Mehta, Stephen G. Tell, Walker J. Turner, Lamar Tatro, Jih Ren Goh, C. Thomas Gray An On-Chip Relaxation Oscillator in 5-nm FinFET Using a Frequency-Error Feedback Loop. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Barosaim Sung, Hyun-Gi Seok, Jaekwon Kim, Jaehoon Lee 0005, Taejin Jang, Ilhoon Jang, Youngmin Kim, Anna Yu, Jong-Hyun Jang, Jiyoung Lee, Jeongyeol Bae, Euiyoung Park, Sung-Jun Lee, Seokwon Lee, Joohan Kim, Beomkon Kim, Yong Lim, Seunghyun Oh, Jongwoo Lee A Single Path Digital-IF Receiver Supporting Inter/Intra 5-CA With a Single Integer LO-PLL in 14-nm CMOS FinFET. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Colin Schmidt 0001, John Charles Wright, Zhongkai Wang, Eric Chang, Albert J. Ou, Woorham Bae, Sean Huang, Vladimir M. Milovanovic, Anita Flynn, Brian C. Richards, Krste Asanovic, Elad Alon, Borivoje Nikolic An Eight-Core 1.44-GHz RISC-V Vector Processor in 16-nm FinFET. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Jani Babu Shaik, Sonal Singhal, Siona Menezes Picardo, Nilesh Goel Impact of various NBTI distributions on SRAM performance for FinFET technology. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Fábio G. R. G. da Silva, Rafael N. M. Oliveira, Alexandra L. Zimpeck, Cristina Meinhardt, Ricardo Reis 0001 Exploring XOR-based Full Adders and decoupling cells to variability mitigation at FinFET technology. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Johann Knechtel, Tarek Ashraf, Natascha Fernengel, Satwik Patnaik, Mohammed Nabeel 0001, Mohammed Ashraf, Ozgur Sinanoglu, Hussam Amrouch Design-time exploration of voltage switching against power analysis attacks in 14 nm FinFET technology. Search on Bibsonomy Integr. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Aram Yousefi, Nima Eslami, Mohammad Hossein Moaiyeri A Reliable and Energy-Efficient Nonvolatile Ternary Memory Based on Hybrid FinFET/RRAM Technology. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Taeeon Park, Jihwan Kwak, Hongjoon Ahn, Jinwoong Lee, Jaehyuk Lim, Sangho Yu, Changhwan Shin, Taesup Moon GAN-Based Framework for Unified Estimation of Process-Induced Random Variation in FinFET. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Sangho Yu, Sang Min Won, Hyoung Won Baac, Donghee Son, Changhwan Shin Quantitative Evaluation of Line-Edge Roughness in Various FinFET Structures: Bayesian Neural Network With Automatic Model Selection. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Yosef Lempel, Rinat Breuer, Joseph Shor A 700-μm², Ring-Oscillator-Based Thermal Sensor in 16-nm FinFET. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14T. Venkata Lakshmi, M. Kamaraju A Review on SRAM Memory Design Using FinFET Technology. Search on Bibsonomy Int. J. Syst. Dyn. Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14D. Ajitha, M. Chandra Sekhar Reddy Intelligent Signal Gating-Aware Energy-Efficient 8-Bit FinFET Arithmetic and Logic Unit. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Erfan Abbasian, Elangovan Mani, Morteza Gholipour, Mehrzad Karamimanesh, Mohd Sahid, Adil Zaidi A Schmitt-Trigger-Based Low-Voltage 11 T SRAM Cell for Low-Leakage in 7-nm FinFET Technology. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Jun Wang, Haozhou Zhu, Yang Yu 0066, Xu Liu, Eryuan Feng, Chuanzhen Lei, Yanfei Cai, Hao Zhu, Qing-Qing Sun, David Wei Zhang A Transistor-Level DFF Based on FinFET Technology for Low Power Integrated Circuits. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Virinchi Roy Surabhi, Prashanth Krishnamurthy, Hussam Amrouch, Jörg Henkel, Ramesh Karri, Farshad Khorrami Trojan Detection in Embedded Systems With FinFET Technology. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Mridul Prakash Kashyap, Harshal Gudaghe, Rishu Chaujar Compatibility of a Truncated Fin-FinFET as a k-modulated Biosensor with Optimum parameters for Pre-emptive Diagnosis of Diseases. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Swagat Nanda, Rudra Sankar Dhar Exploration and development of tri-gate quantum well barrier FinFET with strained nanosystem channel for enhanced performance. Search on Bibsonomy Comput. Electr. Eng. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Kajal, Vijay Kumar Sharma An efficient low power method for FinFET domino OR logic circuit. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14A. Bernard Rayappa, T. V. P. Sundararajan Design of ACS Architecture Using FinFET and CNTFET Devices for Low-Power Viterbi Decoder Using Asynchronous Techniques for Digital Communication Systems. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 1191 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license