The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for scan with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1969 (15) 1970-1976 (15) 1977-1979 (18) 1980-1981 (16) 1982-1983 (15) 1984-1985 (22) 1986 (19) 1987 (23) 1988 (26) 1989 (42) 1990 (48) 1991 (58) 1992 (71) 1993 (76) 1994 (82) 1995 (119) 1996 (105) 1997 (124) 1998 (148) 1999 (156) 2000 (135) 2001 (171) 2002 (262) 2003 (287) 2004 (377) 2005 (394) 2006 (452) 2007 (487) 2008 (471) 2009 (338) 2010 (217) 2011 (183) 2012 (184) 2013 (186) 2014 (202) 2015 (173) 2016 (181) 2017 (238) 2018 (236) 2019 (222) 2020 (235) 2021 (287) 2022 (268) 2023 (286) 2024 (69)
Publication types (Num. hits)
article(2768) book(2) data(1) incollection(30) inproceedings(4908) phdthesis(28) proceedings(2)
Venues (Conferences, Journals, ...)
ITC(391) CoRR(262) VTS(210) IEEE Trans. Comput. Aided Des....(199) Asian Test Symposium(178) J. Electron. Test.(149) DATE(95) IEEE Trans. Very Large Scale I...(87) ATS(85) IROS(83) ICRA(80) DAC(79) VLSI Design(72) IEEE Trans. Computers(69) Sensors(69) DFT(68) More (+10 of total 1786)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3962 occurrences of 1873 keywords

Results
Found 7741 publication records. Showing 7739 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
55Cheng-Han Tsai, Edward T.-H. Chu, Tai-Yi Huang WRR-SCAN: a rate-based real-time disk-scheduling algorithm. Search on Bibsonomy EMSOFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF real-time disk-scheduling, real-time multimedia servers, weighted round-robin
55Masayuki Tsukisaka, Masashi Imai, Takashi Nanya Asynchronous Scan-Latch controller for Low Area Overhead DFT. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
55Anshuman Chandra, Krishnendu Chakrabarty Low-power scan testing and test data compression forsystem-on-a-chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
55Paul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici Scan Architecture for Shift and Capture Cycle Power Reduction. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
55Ozgur Sinanoglu, Ismet Bayraktaroglu, Alex Orailoglu Scan Power Reduction Through Test Data Transition Frequency Analysis. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
55Seongmoon Wang, Srimat T. Chakradhar A scalable scan-path test point insertion technique to enhance delay fault coverage for standard scan designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
55Frank Poehl, Jan Rzeha, Matthias Beck, Michael Gössel, Ralf Arnold, Peter Ossimitz On-Chip Evaluation, Compensation, and Storage of Scan Diagnosis Data - A Test Time Efficient Scan Diagnosis Architecture. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
55Bhargab B. Bhattacharya, Sharad C. Seth, Sheng Zhang 0008 Double-Tree Scan: A Novel Low-Power Scan-Path Architecture. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
55Seongmoon Wang, Srimat T. Chakradhar A Scalable Scan-Path Test Point Insertion Technique to Enhance Delay Fault Coverage for Standard Scan Designs. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
52Gundolf Kiefer, Hans-Joachim Wunderlich Deterministic BIST with Multiple Scan Chains. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF deterministic scan-based BIST, multiple scan paths, parallel scan
52Gundolf Kiefer, Hans-Joachim Wunderlich Deterministic BIST with multiple scan chains. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF deterministic scan-based BIST, multiple scan paths, parallel scan
52Mohammed ElShoukry, Mohammad Tehranipoor, C. P. Ravikumar A critical-path-aware partial gating approach for test power reduction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF partial gating, scan cell gating, Low-power testing, scan testing
51Janusz Rajski, Jerzy Tyszer, Nadime Zacharia Test Data Decompression for Multiple Scan Designs with Boundary Scan. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF reseeding of LFSRs, multiple scan chains, test data decompression, built-in self-test, design for testability, Boundary scan, scan-based designs
51Santiago Remersaro, Xijiang Lin, Sudhakar M. Reddy, Irith Pomeranz, Janusz Rajski Scan-Based Tests with Low Switching Activity. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scan shift, test response capture, supply current, power dissipation, switching activity, scan-based test
51Ozgur Sinanoglu, Ismet Bayraktaroglu, Alex Orailoglu Reducing Average and Peak Test Power Through Scan Chain Modification. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF test power reduction, scan chain modification, average test power, peak test power, scan testing
51Rajesh Gupta 0003, Melvin A. Breuer Partial scan design of register-transfer level circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF serial scan design, I-paths, design for testability, register-transfer level designs, balanced structures, partial scan design
51Johannes Steensma, Francky Catthoor, Hugo De Man Partial scan and symbolic test at the register-transfer level. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF data path test, partial scan selection, symbolic test pattern generation, partial scan application schemes
51Ajay Khoche, Erik Brunvand Testing self-timed circuits using partial scan. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential network, partial scan environment, logic testing, sequential circuits, asynchronous circuits, partial scan, data paths, self-timed circuits
51Nadir Z. Basturkmen, Sudhakar M. Reddy, Irith Pomeranz A Low Power Pseudo-Random BIST Technique. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, BIST, scan, pseudo-random, peak power
51Anshuman Chandra, Rohit Kapur Interval Based X-Masking for Scan Compression Architectures. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF X masking, test, compression, DFT, scan
51Margrit R. Krug, Marcelo de Souza Moraes, Marcelo Lubaszewski Using a software testing technique to identify registers for partial scan implementation. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware testing, testability improvement, hardware description language, automatic test generation, partial scan design
51Irith Pomeranz N-detection under transparent-scan. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test generation, scan design, n-detection test sets
51Yu Huang 0005, Chien-Chung Tsai, Nilanjan Mukherjee 0001, Omer Samman, Wu-Tung Cheng, Sudhakar M. Reddy Synthesis of Scan Chains for Netlist Descriptions at RT-Level. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF scan synthesis, design for testability (DFT), register transfer level (RTL)
51Ilker Hamzaoglu, Janak H. Patel Reducing Test Application Time for Full Scan Embedded Cores. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF test generation, design-for-testability, fault simulation, embedded cores, full scan
51Chau-Shen Chen, TingTing Hwang Layout Driven Selection and Chaining of Partial Scan Flip-Flops. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF design for testability, matching, placement, global routing, partial scan, digital testing, layout optimization
51Alex Brodsky, Faith Ellen Fich Efficient synchronous snapshots. Search on Bibsonomy PODC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multiprocessor algorithms, shared memory objects
50Juan I. Nieto 0001, Tim Bailey, Eduardo Mario Nebot Scan-SLAM: Combining EKF-SLAM and Scan Correlation. Search on Bibsonomy FSR The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Simultaneous localisation and mapping (SLAM), EKF-SLAM, scan correlation, Sum of Gaussians (SoG), observation model
50Nisar Ahmed, Mohammad Tehranipoor, C. P. Ravikumar, Kenneth M. Butler Local At-Speed Scan Enable Generation for Transition Fault Testing Using Low-Cost Testers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
50Bo Yang 0010, Kaijie Wu 0001, Ramesh Karri Secure Scan: A Design-for-Test Architecture for Crypto Chips. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
50Eijiro Takeuchi, Takashi Tsubouchi A 3-D Scan Matching using Improved 3-D Normal Distributions Transform for Mobile Robotic Mapping. Search on Bibsonomy IROS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
50Puneet Gupta 0001, Andrew B. Kahng, Ion I. Mandoiu, Puneet Sharma Layout-aware scan chain synthesis for improved path delay fault coverage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
50Nisar Ahmed, C. P. Ravikumar, Mohammad Tehranipoor, Jim Plusquellic At-Speed Transition Fault Testing With Low Speed Scan Enable. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
50Yannick Bonhomme, Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel Design of Routing-Constrained Low Power Scan Chains. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
50Swaroop Ghosh, K. W. Lai, Wen-Ben Jone, Shih-Chieh Chang Scan Chain Fault Identification Using Weight-Based Codes for SoC Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
50Nodari Sitchinava, Samitha Samaranayake, Rohit Kapur, Emil Gizdarski, Frederic Neuveux, Thomas W. Williams Changing the Scan Enable during Shift. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
50Yannick Bonhomme, Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel Design of Routing-Constrained Low Power Scan Chains. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
50Ozgur Sinanoglu, Alex Orailoglu Test Data Manipulation Techniques for Energy-Frugal, Rapid Scan Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
50Kohei Miyase, Seiji Kajihara Optimal Scan Tree Construction with Test Vector Modification for Test Compression. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
50Nicola Nicolici, Bashir M. Al-Hashimi Multiple Scan Chains for Power Minimization during Test Application in Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Digital systems testing, design for test, low power circuits
50Seongmoon Wang, Sandeep K. Gupta 0001 An automatic test pattern generator for minimizing switching activity during scan testing activity. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
50Ranganathan Sankaralingam, Nur A. Touba Controlling Peak Power During Scan Testing. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
50Huan-Chih Tsai, Kwang-Ting Cheng, Sudipta Bhawmik On improving test quality of scan-based BIST. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
50Chih-Chang Lin, Malgorzata Marek-Sadowska, Kwang-Ting Cheng, Mike Tien-Chien Lee Test-point insertion: scan paths through functional logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
50Yoshihiro Konno, Kazushi Nakamura, Tatsushige Bitoh, Koji Saga, Seiken Yano A Consistent Scan Design System for Large-Scale ASICs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
50Miodrag Potkonjak, Sujit Dey, Rabindra K. Roy Behavioral synthesis of area-efficient testable designs using interaction between hardware sharing and partial scan. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
49Dong Xiang, Yang Zhao 0001, Krishnendu Chakrabarty, Hideo Fujiwara A Reconfigurable Scan Architecture With Weighted Scan-Enable Signals for Deterministic BIST. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
49Yonsang Cho, Irith Pomeranz, Sudhakar M. Reddy Test Application Time Reduction for Scan Circuits Using Limited Scan Operations. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
49Yannick Bonhomme, Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch Efficient Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
49Irith Pomeranz, Sudhakar M. Reddy Property-based test generation for scan designs and the effects ofthe test application scheme and scan selection on the number ofdetectable faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
47Malav Shah Efficient scan-based BIST scheme for low power testing of VLSI chips. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF test-per-clock, test-per-scan, scan, partial scan, switching activity, test length
47Wei-Lun Wang, Kuen-Jong Lee Accelerated test pattern generators for mixed-mode BIST environments. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF accelerated test pattern generators, mixed-mode BIST, pseudorandom patterns, deterministic patterns, scan-based built-in self-test, multiple sub-chains, multiple sequence generator, fault diagnosis, logic testing, built-in self test, integrated circuit testing, automatic test pattern generation, fault coverage, linear feedback shift registers, cost, test pattern generator, shift registers, test application time, scan chain, mixed analogue-digital integrated circuits, clock cycle, integrated circuit economics
47Amer El Helwani, Patrice Le Scan VLSI architecture of the generalized multi delay frequency-domain algorithm for acoustic echo cancellation. Search on Bibsonomy ICASSP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
47M. Cand, P. Le Scan, A. Roset An integrated processor for adaptive and parallel algorithms. Search on Bibsonomy ICASSP The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
46Ozgur Sinanoglu Improving the Effectiveness of Combinational Decompressors Through Judicious Partitioning of Scan Cells. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Combinational decompressors, Scan cell partitioning, Test data compression, Scan-based testing
46Elif Alpaslan, Yu Huang 0005, Xijiang Lin, Wu-Tung Cheng, Jennifer Dworak Reducing Scan Shift Power at RTL. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Test Power Reduction, Power-Sensitive Scan Cell, RTL DFT, Timing Closure, Scan Based Test
46Deepak Agarwal, Andrew McGregor 0001, Jeff M. Phillips, Suresh Venkatasubramanian, Zhengyuan Zhu Spatial scan statistics: approximations and performance study. Search on Bibsonomy KDD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Kulldorff scan statistic, discrepancy, spatial scan statistics
46Mehrdad Nourani, Mohammad H. Tehranipour RL-huffman encoding for test compression and power reduction in scan applications. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Huffman encoding, scan applications, scan-in test power, test pattern compression, power reduction, switching activities, test compression, Compression ratio, run-length encoding, decompression
46Gundolf Kiefer, Hans-Joachim Wunderlich Deterministic BIST with Partial Scan. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF deterministic scan-based BIST, partial scan
46Marie-Lise Flottes, R. Pires, Bruno Rouzeyre, Laurent Volpe Scanning Datapaths: A Fast and Effective Partial Scan Selection Technique. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Scan chain selection, RT and gate levels, Partial scan, Synthesis for testability
46Tomoo Inoue, Toshimitsu Masuzawa, Hiroshi Youra, Hideo Fujiwara An Approach To The Synthesis Of Synchronizable Finite State Machines With Partial Scan. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF synchronizable finite state machines, sequential circuits synthesis, extended synchronizing sequence, scan inputs, normal inputs, MCNC'91 benchmark FSM, minimum-length extended synchronizing sequence, test generation, finite state machines, DFT, heuristic algorithm, minimization, partial scan, synthesis for testability, state assignment, state transition, state encoding
46Jason P. Hurst, Nick Kanopoulos Flip-flop sharing in standard scan path to enhance delay fault testing of sequential circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF flip-flop sharing, standard scan path, standard scan path design, two-vector test sets, VLSI, fault diagnosis, logic testing, delays, design for testability, logic design, sequential circuits, sequential circuits, flip-flops, integrated logic circuits, sequential machines, delay fault testing
46Ajay Khoche, Erik Brunvand A partial scan methodology for testing self-timed circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF partial scan methodology, control section testing, macromodule based circuits, sequential network, logic testing, integrated circuit testing, design for testability, logic design, asynchronous circuits, fault coverage, stuck-at faults, integrated logic circuits, boundary scan testing, self-timed circuits
46Thomas A. Ziaja, Earl E. Swartzlander Jr. Boundary scan in board manufacturing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Board and system test, boundary scan description language, design-for-test, boundary scan
46Matthew L. Fichtenbaum, Gordon D. Robinson Scan test architectures for digital board testers. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF tester architecture, scan, boundary scan
46Chauchin Su, Shyh-Jye Jou Decentralized BIST Methodology for System Level Interconnects. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF interconnect, BIST, DFT, boundary scan
46Antoni Burguera, Yolanda González Cid, Gabriel Oliver On the use of likelihood fields to perform sonar scan matching localization. Search on Bibsonomy Auton. Robots The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Likelihood fields, Sonar, Scan matching
46Sverre Wichlund, Frank Berntsen, Einar J. Aas Scan Test Response Compaction Combined with Diagnosis Capabilities. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Scan compression, Diagnosis, ATPG, Yield, Design for test, ATE
46James Chien-Mo Li Diagnosis of Multiple Hold-Time and Setup-Time Faults in Scan Chains. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Fault diagnosis, ATPG, scan chain
46Puneet Gupta 0001, Andrew B. Kahng, Stefanus Mantik Routing-aware scan chain ordering. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF testing, Layout, scan chain
46Dong Xiang, Janak H. Patel Partial Scan Design Based on Circuit State Information and Functional Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Valid state, invalid state, testability improvement potential, conflict, testability measure, partial scan design
46Nuno Cardoso, Carlos Beltrán Almeida, José Carlos da Silva 0001 A System Level Boundary Scan Controller Board for VME Applications. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF IEEE 1149.1 boundary scan test, board level test and system level test, ATPG
46Ondrej Novák, Jiri Nosek Test Pattern Decompression Using a Scan Chain. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF hardware test pattern generators, BIST, test pattern generation, scan design
46T. A. García, Antonio J. Acosta 0001, J. M. Mora, J. Ramos, José Luis Huertas Self-Timed Boundary-Scan Cells for Multi-Chip Module Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF self-timed CMOS design, testing interconnections, boundary-scan, MCM testing
46David R. Helman, Joseph F. JáJá Efficient Image Processing Algorithms on the Scan Line Array Processor. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SIMD algorithms, scan line array processors, video procesor, parallel algorithms, Image processing, linear array
46Ray-I Chang, Wei-Kuan Shih, Ruei-Chuan Chang Multimedia Real-Time Disk Scheduling by Hybrid Local/Global Seek-Optimizing Approaches. Search on Bibsonomy ICPADS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
45Paola Flocchini, Andrzej Pelc, Nicola Santoro Fault-Tolerant Sequential Scan. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
45Mehdi Salmani Jelodar, Kiarash Mizanian Power Aware Scan-Based Testing using Genetic Algorithm. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
45Martin E. Dyer, Leslie Ann Goldberg, Mark Jerrum Dobrushin Conditions and Systematic Scan. Search on Bibsonomy APPROX-RANDOM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
45Vandana Pursnani Janeja, Vijayalakshmi Atluri FS3: A Random Walk Based Free-Form Spatial Scan Statistic for Anomalous Window Detection. Search on Bibsonomy ICDM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Mohammad Alisafaee, Safar Hatami, Ehsan Atoofian, Zainalabedin Navabi, Ali Afzali-Kusha A low-power scan-path architecture. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Min-Hao Chiu, Chien-Mo James Li Jump Scan: A DFT Technique for Low Power Testing. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Andreas Leininger, Michael Gössel, Peter Muhmenthaler Diagnosis of Scan-Chains by Use of a Configurable Signature Register and Error-Correcting Code. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Md. Saffat Quasem, Sandeep K. Gupta 0001 Designing Reconfigurable Multiple Scan Chains for Systems-on-Chip. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Nahmsuk Oh, Rohit Kapur, Thomas W. Williams, Jim Sproch Test Pattern Compression Using Prelude Vectors in Fan-Out Scan Chain with Feedback Architecture. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Irith Pomeranz, Sudhakar M. Reddy A New Approach to Test Generation and Test Compaction for Scan Circuits. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Md. Saffat Quasem, Sandeep K. Gupta 0001 Designing Multiple Scan Chains for Systems-on-Chip. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Puneet Gupta 0001, Andrew B. Kahng, Ion I. Mandoiu, Puneet Sharma Layout-Aware Scan Chain Synthesis for Improved Path Delay Fault Coverage. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Debjyoti Ghosh, Swarup Bhunia, Kaushik Roy 0001 Multiple Scan Chain Design Technique for Power Reduction during Test Application in BIST. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Samitha Samaranayake, Emil Gizdarski, Nodari Sitchinava, Frederic Neuveux, Rohit Kapur, Thomas W. Williams A Reconfigurable Shared Scan-in Architecture. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Ozgur Sinanoglu, Alex Orailoglu A novel scan architecture for power-efficient, rapid test. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
45Yannick Bonhomme, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch Power Driven Chaining of Flip-Flops in Scan Architectures. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
45Timothy Wheeler, Paul S. Graham, Brent E. Nelson, Brad L. Hutchings Using Design-Level Scan to Improve FPGA Design Observability and Controllability for Functional Verification. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
45Sunggu Lee, Kang G. Shin Design for test using partial parallel scan. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
45George F. Corliss SCAN-98 Collected Bibliography. Search on Bibsonomy SCAN The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
44V. R. Devanathan, C. P. Ravikumar, V. Kamakoti 0001 Reducing SoC Test Time and Test Power in Hierarchical Scan Test : Scan Architecture and Algorithms. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Chaowen Yu, Sudhakar M. Reddy, Irith Pomeranz A Partitioning Technique for Identification of Error-Capturing Scan Cells in Scan-BIST. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Nabil Badereddine, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Christian Landrault Scan Cell Reordering for Peak Power Reduction during Scan Test Cycles. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
44Yu Hu 0001, Xiaowei Li 0001, Huawei Li 0001, Xiaoqing Wen Compression/Scan Co-Design for Reducing Test Data Volume, Scan-in Power Dissipation and Test Application Time. Search on Bibsonomy PRDC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
44Chunsheng Liu, Krishnendu Chakrabarty Identification of error-capturing scan cells in scan-BIST with applications to system-on-chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Irith Pomeranz, Sudhakar M. Reddy On Undetectable Faults in Partial Scan Circuits Using Transparent-Scan. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 7739 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license