The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Testability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1980 (18) 1981-1982 (19) 1983-1984 (27) 1985 (18) 1986 (28) 1987-1988 (53) 1989 (39) 1990 (62) 1991 (57) 1992 (64) 1993 (85) 1994 (76) 1995 (135) 1996 (84) 1997 (92) 1998 (95) 1999 (99) 2000 (102) 2001 (68) 2002 (91) 2003 (78) 2004 (82) 2005 (87) 2006 (75) 2007 (79) 2008 (87) 2009 (49) 2010 (42) 2011 (34) 2012 (28) 2013 (17) 2014 (28) 2015 (21) 2016 (18) 2017-2018 (35) 2019 (29) 2020 (26) 2021 (29) 2022 (25) 2023 (25) 2024 (4)
Publication types (Num. hits)
article(783) book(2) incollection(3) inproceedings(1401) phdthesis(21)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2833 occurrences of 878 keywords

Results
Found 2210 publication records. Showing 2210 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
35Krishna B. Rajan, David E. Long, Miron Abramovici Increasing testability by clock transformation (getting rid of those darn states). Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF clock transformation, sequential test generation, darn states, easy-to-reach states, logic testing, partitioning, design for testability, sequential circuits, DFT, fault coverage, testability, flip-flops, flip-flops, clocks, logic partitioning
35Rohit Kapur, M. Ray Mercer Bounding Signal Probabilities for Testability Measurement Using Conditional Syndromes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF bounding algorithm, circuit faults, conditional syndromes, auxiliary gate, pseudorandom pattern resistant faults, circuit structure, computational complexity, lower bounds, built-in self test, integrated circuit testing, circuit analysis computing, signal probabilities, testability measurement, random pattern testability
35Anastasios Vergis, Kenneth Steiglitz Testability Conditions for Bilateral Arrays of Combinational Cells. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF testability conditions, Bilateral arrays, combinational cells, linear growth, one-step testability, preset test sequences, quadratic growth, fault detection, systolic array
34Florence Azaïs, Marcelo Lubaszewski, Pascal Nouet, Michel Renovell A Strategy for Optimal Test Point Insertion in Analog Cascaded Filters. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF testability evaluation, design-for-test, analog and mixed-signal testing
34Roger T. Alexander, James M. Bieman, Sudipto Ghosh, Bixia Ji Mutation of Java Objects. Search on Bibsonomy ISSRE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Java, software testing, object-oriented programming, testability, Faults, mutation analysis, test adequacy
34Li-Ren Huang, Jing-Yang Jou, Sy-Yen Kuo, Wen-Bin Liao Easily Testable Data Path Allocation Using Input/Output Registers. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF testable data path allocation, behavioral synthesis systems, input/output registers, interconnection allocation, module allocation, higher fault coverage, lower hardware overhead, improved testability, VLSI synthesis, optimization, algorithms, benchmarks, ATPG, DFT, register allocation, circuit optimisation, RTL design
34Chih-Jen Lin, Yervant Zorian, Sudipta Bhawmik Integration of partial scan and built-in self-test. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF test points, built-in self-test, design for testability, partial scan
34Sujit Dey, Srimat T. Chakradhar Design of testable sequential circuits by repositioning flip-flops. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cycle-breaking, flip-flop minimization, sequential redundancy, design for testability, sequential circuits, retiming, partial scan, strongly connected components, redundant fault
34Nilanjan Mukherjee 0001, Janusz Rajski, Jerzy Tyszer On testable multipliers for fixed-width data path architectures. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Fixed-Width Architectures, Built-in Self -Test, High-level Synthesis, Design for Testability
32Phil McMinn, David W. Binkley, Mark Harman Empirical evaluation of a nesting testability transformation for evolutionary testing. Search on Bibsonomy ACM Trans. Softw. Eng. Methodol. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF testability transformation, test data generation, Evolutionary testing, search-based software engineering
32Da Wang, Yu Hu 0001, Huawei Li 0001, Xiaowei Li 0001 Design-for-Testability Features and Test Implementation of a Giga Hertz General Purpose Microprocessor. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF microprocessor design-for-testability, built-in self-test, test generation, at-speed testing
32Teemu Kanstrén A Study on Design for Testability in Component-Based Embedded Software. Search on Bibsonomy SERA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF testing, components, design for testability, embedded software
32Hao-Chiao Hong A Fully-Settled Linear Behavior Plus Noise Model for Evaluating the Digital Stimuli of the Design-for-Digital-Testability Sigma-Delta Modulators. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Design-for-digital-testability, Stimulus evaluation, ?-? modulator, Behavioral model
32Hafizur Rahaman 0001, Debesh K. Das, Bhargab B. Bhattacharya Implementing Symmetric Functions with Hierarchical Modules for Stuck-At and Path-Delay Fault Testability. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF universal tests, stuck-at fault, path-delay fault, synthesis-for-testability, unate function, symmetric boolean function
32Barbara Cannas, Alessandra Fanni, Stefano Manetti, Augusto Montisci, Maria Cristina Piccirilli Neural network-based analog fault diagnosis using testability analysis. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Neural networks, Diagnosis, Analog circuits, Testability analysis
32Lampros Dermentzoglou, Y. Tsiatouhas, Angela Arapoyanni A Design for Testability Scheme for CMOS LC-Tank Voltage Controlled Oscillators. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Radio Frequency (RF) Testing, Design for Testability (DFT), Voltage Controlled Oscillator (VCOs)
32André Baresel, David W. Binkley, Mark Harman, Bogdan Korel Evolutionary testing in the presence of loop-assigned flags: a testability transformation approach. Search on Bibsonomy ISSTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF flags, testability transformation, empirical evaluation, evolutionary testing
32Cecilia Metra, T. M. Mak, Martin Omaña 0001 Fault secureness need for next generation high performance microprocessor design for testability structures. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF built in self test, design for testability, microprocessor, comparator, fault secureness
32Hans G. Kerkhoff, Han Speek, M. Shashani, Manoj Sachdev Design for Delay Testability in High-Speed Digital ICs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF BIST, delay-fault testing, design for delay testability, high-speed testing
32Masaki Hashizume, Hiroyuki Yotsuyanagi, Masahiro Ichimiya, Takeomi Tamesada, Masashi Takeda High speed IDDQ test and its testability for process variation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF high speed IDDQ test, charge current, gate load capacitances, test input vector application, CMOS IC production, logic testing, integrated circuit testing, process variation, testability, CMOS logic circuits, production testing
32Ayoob E. Dooply, Kenneth Y. Yun Optimal Clocking and Enhanced Testability for High-Performance Self-Resetting Domino Pipelines. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Self-resetting domino, time borrowing, roadblock, skew tolerance design-for-testability, scan register, multiple stuck fault
32Erik A. McShane, Krishna Shenai, Leon Alkalai, E. Kolawa, Victor Boyadzhyan, Brent R. Blaes, Wai-Chi Fang Novel Design for Testability of a Mixed-Signal VLSI IC. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Mixed-signal VLSI, verification, microprocessor, testability, RF
32Silvia Chiusano, Fulvio Corno, Matteo Sonza Reorda, Roberto Vietti A System for Evaluating On-Line Testability at the RT-level. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Testability estimation, On-line testing, Design exploration
32Yervant Zorian Fundamentals of MCM Testing and Design-for-Testability. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF known good dies, design-for-testability, MCM testing
32Joan Carletta, Christos A. Papachristou Behavioral Testability Insertion for Datapath/Controller Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF behavioral testability analysis, BIST, test synthesis
32Kazumi Hatayama, Mitsuji Ikeda, Masahiro Takakura, Satoshi Uchiyama, Yoriyuki Sakamoto Application of a Design for Delay Testability Approach to High Speed Logic LSIs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Delay Test Generation, Design for Testability, Delay Testing
32Toshinobu Ono, Kazuo Wakui, Hitoshi Hikima, Yoshiyuki Nakamura, Masaaki Yoshida Integrated and Automated Design-for-Testability Implementation for Cell-Based ICs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF core test, design-for-testability, BIST, scan, boundary scan, test bus
32Jacob Savir Random pattern testability of memory control logic. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF embedded memory control logic, exposure probability, fault detection, integrated memory circuits, signal probability, random pattern testability
32Shashank K. Mehta, Kent L. Einspahr, Sharad C. Seth Synthesis for Testability by Two-Clock Control. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF two-clock control scheme, split coding system, FSM benchmark, timing, finite state machine, sequential circuit, encoding, logic synthesis, Hamiltonian cycle, synthesis for testability, state transition graph
32Chien-Chung Tsai, Malgorzata Marek-Sadowska Logic Synthesis for Testability. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Fixed-Polarity Reed-Muller Forms, Logic synthesis, Testability
32Jeffrey M. Voas, Jeffery E. Payne, R. Mills, John McManus Software Testability: An Experiment in Measuring Simulation Reusability. Search on Bibsonomy SSR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF software testability, software testing, software reusability
32Joan Carletta, Christos A. Papachristou Testability analysis and insertion for RTL circuits based on pseudorandom BIST. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pseudorandom BIST, indirect feedback, preprocessing transformation, word-level correlation, modeling, logic testing, probability, built-in self test, built-in self-test, integrated circuit testing, Markov processes, automatic testing, Markov model, insertion, testability analysis, test point insertion, iterative technique, RTL circuits, register transfer level circuits
32Prab Varma, Tushar Gheewala The economics of scan-path design for testability. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF state retention problem, Design for testability, scan, partial scan, test economics, life-cycle costs
32Dhamin Al-Khalili, Côme Rozon, B. Stewart Testability analysis and fault modeling of BiCMOS circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF modeling, testability, faults, defects, BiCMOS
32Bernd Becker 0001 Synthesis for Testability: Binary Decision Diagrams. Search on Bibsonomy STACS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF VLSI structures, (complete, full) testability, synthesis, fault model, algorithms and data structures
32Paolo Camurati, Paolo Prinetto, Matteo Sonza Reorda Exact probabilistic testability measures for multi-output circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF probabilistic testability analysis, Built-in self-test, test-pattern generation, CAD tools
32Michiel M. Ligthart, Emile H. L. Aarts, Frans P. M. Beenker Design-for-testability of PLA's using statistical cooling. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF PLA testing, statistical cooling, design-for-testability, PLA
30Kaarina Karppinen, Lyly Yonkwa, Mikael Lindvall Why Developers Insert Security Vulnerabilities into Their Code. Search on Bibsonomy ACHI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30David Hély, Frédéric Bancel, Marie-Lise Flottes, Bruno Rouzeyre Securing Scan Control in Crypto Chips. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Crypto-chips, Security, Scan
30Hiroyuki Iwata, Tomokazu Yoneda, Hideo Fujiwara A DFT Method for Time Expansion Model at Register Transfer Level. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Petros Oikonomakos, Mark Zwolinski An Integrated High-Level On-Line Test Synthesis Tool. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Bo Yang 0010, Kaijie Wu 0001, Ramesh Karri Secure Scan: A Design-for-Test Architecture for Crypto Chips. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Sami Beydeda Self-Metamorphic-Testing Components. Search on Bibsonomy COMPSAC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Saeed Safari, Hadi Esmaeilzadeh, Amir-Hossein Jahangir A novel improvement technique for high-level test synthesis. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
30Shyue-Kung Lu, Chien-Hung Yeh Easily Testable and Fault-Tolerant Design of FFT Butterfly Networks. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Stefan Jungmayr Identifying Test-Critical Dependencies. Search on Bibsonomy ICSM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Lionel C. Briand, Yvan Labiche A UML-Based Approach to System Testing. Search on Bibsonomy UML The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Xiao-Tao Chen, Wei-Kang Huang, Nohpill Park, Fred J. Meyer, Fabrizio Lombardi Novel Approaches for Fault Detection in Two-Dimensional Combinational Arrays. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Dong Xiang, Yi Xu Partial Reset for Synchronous Sequential Circuits Using Almost Independent Reset Signals. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Satoshi Ohtake, Michiko Inoue, Hideo Fujiwara A Method of Test Generation for Weakly Testable Data Paths Using Test Knowledge Extracted from RTL Description. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Sandeep Bhatia, Niraj K. Jha Integration of hierarchical test generation with behavioral synthesis of controller and data path circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Katzalin Olcoz, Francisco Tirado Register Allocation with Simultaneous BIST Intrusio. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Gwo-Haur Hwang, Wen-Zen Shen Restructuring and logic minimization for testable PLA. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
30Srinivas Devadas, Kurt Keutzer Validatable nonrobust delay-fault testable circuits via logic synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
29Eliane Martins, Cristina Maria Toyota, Rosileny Lie Yanagawa Constructing Self-Testable Software Components. Search on Bibsonomy DSN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF component testability, self-testable component, OO testing, design for testability
29Michael J. Liebelt, Cheng-Chew Lim A method for determining whether asynchronous circuits are self-checking. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power electronics, TSC, low noise properties, semi-modular asynchronous circuit, output stuck-at-faults, low power, fault diagnosis, logic testing, integrated circuit testing, design for testability, asynchronous circuits, testability, totally self-checking, integrated circuit noise
29Farhad Hayat, Thomas W. Williams, Rohit Kapur, D. Hsu DFT closure. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF area requirement, power requirement, timing closure flow, logic testing, SoC, integrated circuit testing, design for testability, automatic testing, application specific integrated circuits, ASIC, testability
29Dimitris Gizopoulos, Dimitris Nikolos, Antonis M. Paschalis, Constantin Halatsis C-Testable modified-Booth multipliers. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Booth multipliers, design for testability, C-testability, iterative logic arrays, carry lookahead adders, cell fault model
29Roberto Bevacqua, Luca Guerrazzi, Franco Fummi SCAN/BIST Techniques for Decreasing Test Storage and their implications to Test Pattern Generation. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF test storage, scan-path techniques, Built-In Self Test, design for testability, Design for Testability, BIST, test pattern generation, SCAN, test sequences
29Seiken Yano Unified scan design with scannable memory arrays. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF unified scan design, scannable memory arrays, single scan path, scan operation time, scannable register file, fault diagnosis, design for testability, design-for-testability, automatic testing, logic CAD, flip-flops, flip-flops, arrays, shift registers, integrated memory circuits
29Mohamed Soufi, Yvon Savaria, Bozena Kaminska On the design of at-speed testable VLSI circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF at-speed testable circuits, testable VLSI circuits, application test time, parallel vectors, stuck-at test, observability problems, probe observation point, VLSI, logic testing, integrated circuit testing, design for testability, design-for-testability, logic design, sequential circuits, sequential circuits, observability, fault coverages, integrated circuit design, integrated logic circuits, operational speed, DFT technique
29Imtiaz P. Shaik, Michael L. Bushnell A graph approach to DFT hardware placement for robust delay fault BIST. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF graph heuristic, DFT hardware placement, robust delay fault BIST, ULSI circuit, built-in self-testing model, design for testability hardware, hazard free structure, graph theory, logic testing, delays, built-in self test, integrated circuit testing, design for testability, fault location, digital integrated circuits, ULSI
29U. K. Bhattacharyya, Idranil Sen Gupta, S. Shyama Nath, P. Dutta PLA based synthesis and testing of hazard free logic. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PLA based synthesis, hazard free logic, multilevel network, supergate partitioning, multi-output circuits, testing, logic testing, design for testability, combinational circuits, logic CAD, testability, programmable logic arrays, logic partitioning, combinational networks, hazards and race conditions
29Elizabeth M. Rudnick, Janak H. Patel A genetic approach to test application time reduction for full scan and partial scan circuits. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF partial scan circuits, design-for-testability techniques, compact test set generation, genetic algorithms, genetic algorithms, logic testing, design for testability, logic design, sequential circuits, combinational circuits, DFT, flip-flops, test application time reduction, full scan circuits
29Tak-Yung Kim, Taewhan Kim Clock tree synthesis with pre-bond testability for 3D stacked IC designs. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, routing, buffer insertion, 3D ICs, clock tree
29Tao Niu, Yingjiao Zhang, Debo Zhang, Liqiang Liu, Xianjue Luo Testability Analysis of Grounding Grids Using Network Transformation. Search on Bibsonomy IAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Ismael Rodríguez A General Testability Theory. Search on Bibsonomy CONCUR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Hideo Fujiwara, Hiroyuki Iwata, Tomokazu Yoneda, Chia Yee Ooi A Nonscan Design-for-Testability Method for Register-Transfer-Level Circuits to Guarantee Linear-Depth Time Expansion Models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Kazuteru Namba, Hideo Ito Delay Fault Testability on Two-Rail Logic Circuits. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Sying-Jyan Wang, Tung-Hua Yeh High-level test synthesis for delay fault testability. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Heng-Yao Lin, Hui-Hsien Tsai, Bin-Da Liu, Jar-Ferr Yang, Soon-Jyh Chang An Efficient Design-for-testability Scheme for 2-D Transform in H.264 Advanced Video Coders. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Thomas W. Williams Design for Testability: The Path to Deep Submicron. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Bogdan Korel, Mark Harman, S. Chung, P. Apirukvorapinit, Rajiv Gupta 0001, Q. Zhang Data Dependence Based Testability Transformation in Automated Test Generation. Search on Bibsonomy ISSRE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Mansour H. Assaf, Sunil R. Das, Emil M. Petriu, Mehmet Sahinoglu Enhancing Testability in Architectural Design for the New Generation of Core-Based Embedded Systems. Search on Bibsonomy HASE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Y. S. Son, Jong Whoa Na A New Logic Transformation Method for Both Low Power and High Testability. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Sule Ozev, Alex Orailoglu End-to-End Testability Analysis and DfT Insertion for Mixed-Signal Paths. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Troy Lamoreaux, Mark Ofori-Kyei, Mark Pinone A Process for Improving Software Testability. Search on Bibsonomy ICSM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Saeed Safari, Hadi Esmaeilzadeh, Amir-Hossein Jahangir Testability Improvement During High-Level Synthesis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Junhao Shi, Görschwin Fey, Rolf Drechsler BDD Based Synthesis of Symmetric Functions with Full Path-Delay Fault Testability. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Avraham Trakhtman Reducing the Time Complexity of Testing for Local Threshold Testability. Search on Bibsonomy CIAA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF threshold locally testable, algorithm, graph, Automaton
29Rei-Fu Huang, Li-Ming Denq, Cheng-Wen Wu, Jin-Fu Li 0001 A Testability-Driven Optimizer and Wrapper Generator for Embedded Memories. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Jonathan Vincent, Graham King, Peter Lay, John Kinghorn Principles of Built-In-Test for Run-Time-Testability in Component-Based Software Systems. Search on Bibsonomy Softw. Qual. J. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF continuous test, component based software engineering, built-in-test, verification and validation
29Jin-Cherng Lin, Szu-Wen Lin An Analytic Software Testability Model. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Andrzej Krasniewski Evaluation of Delay Fault Testability of LUT Functions for Improved Efficiency of FPGA Testing. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Md. Altaf-Ul-Amin, Satoshi Ohtake, Hideo Fujiwara Design for Hierarchical Two-Pattern Testability of Data Paths. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Kelly A. Ockunzzi, Christos A. Papachristou Breaking Correlation to Improve Testability. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF BIST, DFT, Test Synthesis
29Ginette Monté, Bernard Antaki, Serge Patenaude, Yvon Savaria, Claude Thibeault, Pieter M. Trouborst Tools for the Characterization of Bipolar CML Testability. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF IFA, Automatic characterization, Fault-Modeling, CML
29Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO-BIST: A framework for testability analysis and optimization forbuilt-in self-test of RTL circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Susanta Chakrabarti, Sandip Das 0001, Debesh Kumar Das, Bhargab B. Bhattacharya Synthesis of symmetric functions for path-delay fault testability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Mehrdad Nourani, Joan Carletta, Christos A. Papachristou Synthesis-for-testability of controller-datapath pairs that use gated clocks. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Jacob Savir Random Pattern Testability of Control and Address Circuitry of an Embedded Memory with Feed-Forward Data-Path Connections. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF exposure probability, escape probability, Markov chain, detection probability, signal probability, random patterns
29A. N. Trahtman An Algorithm to Verify Local Threshold Testability of Deterministic Finite Automata. Search on Bibsonomy WIA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF locally threshold testable, semigroup AMS subject classification 68Q25, 68Q68, 20M07, algorithm, deterministic finite automaton, 68Q45
29Mostafa I. H. Abd-El-Barr, Maher Al-Sherif, Mohamed Osman Fault Characterization and Testability Considerations in Multi-Valued Logic Circuits. Search on Bibsonomy ISMVL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO-BIST: A Framework for Testability Analysis and Optimizationb of RTL Circuits for BIST. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Susanta Chakraborty, Sandip Das 0001, Debesh K. Das, Bhargab B. Bhattacharya Synthesis of Symmetric Functions for Path-Delay Fault Testability. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Cesare Alippi, Franco Fummi, Vincenzo Piuri, Mariagiovanna Sami, Donatella Sciuto Testability analysis and behavioral testing of the Hopfield neural paradigm. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Sujit Dey, Vijay Gangaram, Miodrag Potkonjak A controller redesign technique to enhance testability of controller-data path circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Irith Pomeranz, Sudhakar M. Reddy Design-for-testability for path delay faults in large combinational circuits using test points. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Steven M. Nowick, Niraj K. Jha, Fu-Chiung Cheng Synthesis of asynchronous circuits for stuck-at and robust path delay fault testability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Junji Mori, Ben Mathew, Dave Burns, Yeuk-Hai Mok Testability Features of R10000 Microprocessor. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Lfsr, Observability Register, Clock Stretch, Fault Simulation, Memory Test
Displaying result #201 - #300 of 2210 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license