The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "IRPS"( http://dblp.L3S.de/Venues/IRPS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/irps

Publication years (Num. hits)
2015 (174) 2018 (172) 2019 (171) 2020 (183) 2021 (141) 2022 (174) 2023 (186)
Publication types (Num. hits)
inproceedings(1194) proceedings(7)
Venues (Conferences, Journals, ...)
IRPS(1201)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1201 publication records. Showing 1201 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Stanislav Tyaginov, Aryan Afzalian, Alexander Makarov, Alexander Grill, Michiel Vandemaele, Maksim Cherenev, Mikhail I. Vexler, Geert Hellings, Ben Kaczer On Superior Hot Carrier Robustness of Dynamically-Doped Field-Effect-Transistors. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tianfang Peng, Zheng You The Optimal Shape of MEMS Beam Under High-G Shock Based on a Probabilistic Fracture Model. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Stanislav Tyaginov, Alexander Makarov, Al-Moatasem Bellah El-Sayed, Adrian Vaisman Chasin, Erik Bury, Markus Jech, Michiel Vandemaele, Alexander Grill, An De Keersgieter, Mikhail I. Vexler, Geert Eneman, Ben Kaczer Understanding and Modeling Opposite Impacts of Self-Heating on Hot-Carrier Degradation in n- and p-Channel Transistors. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kookjin Lee, Ben Kaczer, Anastasiia Kruv, Mario Gonzalez, Geert Eneman, Oguzhan O. Okudur, Alexander Grill, Jacopo Franco, Andrea Vici, Robin Degraeve, Ingrid De Wolf Significant Enhancement of HCD and TDDB in CMOS FETs by Mechanical Stress. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jian-Hsing Lee, Yeh-Jen Huang, Li-Yang Hong, Li-Fan Chen, Yeh-Ning Jou, Shin-Cheng Lin, Walter Wohlmuth, Chih-Cherng Liao, Ching-Ho Li, Shoa-Chang Huang, Ke-Horng Chen Incorporation of a Simple ESD Circuit in a 650V E-Mode GaN HEMT for All-Terminal ESD Protection. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1T. L. Tan, C. W. Eng, H. Xu, J. M. Soon, E. Ebard, Mahesh Siddabathula, B. F. Phoong, K. H. Poh, M. Prabhu, X.-L. Zhao, J. M. Koo, K. Cho, G.-W. Zhang A Deeper Understanding of Well Charging Reliability with Circuit Relevant Test Structures. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yunjie Fan, Zhiqiang Wang, Shengwei Yang, Kun Han, Yi He Investigation of Retention Characteristics in a Triple-level Charge Trap 3D NAND Flash Memory. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Eduardo Esmanhotto, Tifenn Hirtzlin, Niccolo Castellani, S. Martin, Bastien Giraud, François Andrieu, Jean-François Nodin, Damien Querlioz, Jean-Michel Portal, Elisa Vianello Experimental demonstration of Single-Level and Multi-Level-Cell RRAM-based In-Memory Computing with up to 16 parallel operations. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sushil Kumar, Dhairya Singh Arya, Manu Garg, Pushpapraj Singh Adhesion-Limit in Refractory Transition Metal (Mo) Contact Relay Operation at 300 °C - Avoiding Overestimation for Modern ICs. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nitanshu Chauhan, Chirag Garg, Kai Ni 0004, Amit Kumar Behera, Sarita Yadav, Shashank Banchhor, Navjeet Bagga, Avirup Dasgupta, Arnab Datta, Sudeb Dasgupta, Anand Bulusu Impact of Random Spatial Fluctuation in Non-Uniform Crystalline Phases on Multidomain MFIM Capacitor and Negative Capacitance FDSOI. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Maximilian W. Feil, Hans Reisinger, André Kabakow, Thomas Aichinger, Wolfgang Gustin, Tibor Grasser Optical Emission Correlated to Bias Temperature Instability in SiC MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jian Liu, Nathaniel Carels, Nathaniel Peachey Characterization and Analysis of RF Switches in SOI Technology for ESD Protection. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ernest Y. Wu, Ron Bolam, Baozhen Li, Tian Shen, Barry P. Linder, Griselda Bonilla, Miaomiao Wang 0006, Dechao Guo A Flexible and Inherently Self-Consistent Methodology for MOL/BEOL/MIMCAP TDDB Applications with Excessive Variability-Induced Degradation. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Barry J. O'Sullivan, Brecht Truijen, Vamsi Putcha, Alexander Grill, Adrian Vaisman Chasin, Geert Van den Bosch, Ben Kaczer, M. N. K. Alam, Jan Van Houdt Modelling ultra-fast threshold voltage instabilities in Hf-based ferroelectrics. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Satyaki Ganguly, Daniel J. Lichtenwalner, Caleb Isaacson, Donald A. Gajewski, Philipp Steinmann, Ryan Foarde, Brett Hull, Sei-Hyung Ryu, Scott Allen, John W. Palmour Negative Gate Bias TDDB evaluation of n-Channel SiC Vertical Power MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Erik Bury, Adrian Vaisman Chasin, Ben Kaczer, Michiel Vandemaele, Stanislav Tyaginov, Jacopo Franco, Romain Ritzenthaler, Hans Mertens, Pieter Weckx, N. Horiguchi, Dimitri Linten Evaluating Forksheet FET Reliability Concerns by Experimental Comparison with Co-integrated Nanosheets. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Md Raquibuzzaman, Md. Mehedi Hasan, Aleksandar Milenkovic, Biswajit Ray Layer-to-Layer Endurance Variation of 3D NAND Flash Memory. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Andrea Vici, Robin Degraeve, João Pedro Bastos, Philippe Roussel, Ingrid De Wolf Combining SILC and BD statistics for low-voltage lifetime projection in HK/MG stacks. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Laura Zunarelli, Susanna Reggiani, Elena Gnani, Raj Sankaralingam, Mariano Dissegna, Gianluca Boselli TCAD Investigation of Power-to-Failure Evaluation for Ultrafast Events in BJT-based ESD Protection Cells. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1 IEEE International Reliability Physics Symposium, IRPS 2022, Dallas, TX, USA, March 27-31, 2022 Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yu-Hsing Cheng, Michael Cook 0004, Derryl D. J. Allman NBTI Characterization with in Situ Poly Heater. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Michiel Vandemaele, Ben Kaczer, Stanislav Tyaginov, Erik Bury, Adrian Vaisman Chasin, Jacopo Franco, Alexander Makarov, Hans Mertens, Geert Hellings, Guido Groeseneken Simulation Comparison of Hot-Carrier Degradation in Nanowire, Nanosheet and Forksheet FETs. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ketul B. Sutaria, Minki Cho, Anisur Rahman, Jihan Standfest, Rahul Sharma, Swaroop Kumar Namalapuri, Shiv Gupta, Bahar Ajdari, Ricardo Ascázubi, Balkaran Gill Q&R On-Chip (QROC): A Unified, Oven-less and Scalable Circuit Reliability Platform. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jeffrey Zhang 0008, Antai Xu, Daniel Gitlin A Method of Developing Qualification Plans for Board Products. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xinqian Chen, Fei Hou, Zuoyuan Dong, Yuxin Zhang, Chaolun Wang, Fang Liang, Feibo Du, Zhiwei Liu, Xing Wu 0005 Nanoscale Analysis of Breakdown Induced Crack Propagation in DTSCR Devices. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1James Farmer, Dmitry Veksler, E. Tang, Gennadi Bersuker, David Z. Gao, Al-Moatasem El-Sayed, Thomas Durrant, Alexander L. Shluger, Thomas Rueckes, Lee Cleveland, Harry Luan, Rahul Sen Combining measurements and modeling/simulations analysis to assess carbon nanotube memory cell characteristics. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alexander Teverovsky Infant Mortality and Wear-Out Failures in Polymer and MnO2 Tantalum Capacitors. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Md Iqbal Mahmud, Rakesh Ranjan, Ki-Don Lee, Pavitra Ramadevi Perepa, Caleb Dongkyun Kwon, Seungjin Choo, Kihyun Choi Reverse Body Bias Dependence of HCI Reliability in Advanced FinFET. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhihua Zhu, Songyan Wang, Xiaomei Fan A Novel Latch-Up-Immune DDSCR Used for 12 V Applications. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Seongkyung Kim, Ukjin Jung, Seungjin Choo, Kihyun Choi, Tae-Jin Chung, Shin-Young Chung, Euncheol Lee, Juhun Park, Deokhan Bae, Myungyoon Um Middle-of-the-Line Reliability Characterization of Recessed-Diffusion-Contact Adopted sub-5nm Logic Technology. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Davide Bisi, Bill Cruse, Philip Zuk, Primit Parikh, Umesh K. Mishra, Tsutomu Hosoda, Masamichi Kamiyama, Masahito Kanamura Short-Circuit Capability with GaN HEMTs : Invited. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nilotpal Choudhury, Ayush Ranjan, Souvik Mahapatra Decoupling of NBTI and Pure HCD Contributions in p-GAA SNS FETs Under Mixed VG/VD Stress. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Wen Liu, Dimitris P. Ioannou, Johnatan Kantarovsky, Byoung Min, Tanya Nigam Robust Off-State TDDB Reliability of n-LDMOS. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1J. P. Bastos, Barry J. O'Sullivan, Jacopo Franco, Stanislav Tyaginov, Brecht Truijen, Adrian Vaisman Chasin, Robin Degraeve, Ben Kaczer, Romain Ritzenthaler, Elena Capogreco, E. Dentoni Litta, Alessio Spessot, Yusuke Higashi, Y. Yoon, V. Machkaoutsan, Pierre Fazan, N. Horiguchi Bias Temperature Instability (BTI) of High-Voltage Devices for Memory Periphery. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Marcello Cioni, Patrick Fiorenza, Fabrizio Roccaforte, Mario Saggio, S. Cascino, Angelo Alberto Messina, Vincenzo Vinciguerra, Michele Calabretta, Alessandro Chini Identification of Interface States responsible for VTH Hysteresis in packaged SiC MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bikram Kishore Mahajan, Yen-Pu Chen, Muhammad Ashraful Alam, Dhanoop Varghese, Srikanth Krishnan, Vijay Reddy A Critical Examination of the TCAD Modeling of Hot Carrier Degradation for LDMOS Transistors. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1P. S. Chen, Y. W. Lee, D. S. Huang, S. C. Chen, C. F. Cheng, J. H. Lee, Jun He AC TDDB Analysis for HK/IL Gate Stack Breakdown and Frequency-dependent Oxygen Vacancy Trap Generation in Advanced nodes FinFET Devices by SILC Spectrum Methodology. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Cheng-Lin Sung, Sheng-Ting Fan, Hang-Ting Lue, Wei-Chen Chen, Pei-Ying Du, Teng-Hao Yeh, Keh-Chung Wang, Chih-Yuan Lu First Experimental Study of Floating-Body Cell Transient Reliability Characteristics of Both N- and P-Channel Vertical Gate-All-Around Devices with Split-Gate Structures. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Munehiro Tada NanoBridge Technology for Novoaltile FPGA and Memory Applications : (Invited). Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1R. Aggarwal, L. Jiang, S. Patra, N. Lajo, Enamul Kabir, R. Kasim A Novel Approach for Assessing Impact of Temperature Hot-Spots on Chip-Package Interaction Reliability. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Junji Senzaki, Ryoji Kosugi, Keiko Masumoto, Takeshi Mitani, Takeharu Kuroiwa, Hiroshi Yamaguchi Influence of SiC epitaxial wafer quality on yield of 1.2kV SiC-DMOSFETs. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yiming Qu, Yang Shen, Mingji Su, Jiwu Lu, Yi Zhao GHz C-V Characterization Methodology and Its Application for Understanding Polarization Behaviors in High-k Dielectric Films. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Juwon Lee, Junho Seo, Jeonghun Nam, YongLae Kim, Ki-Whan Song, Jai Hyuk Song, Woo Young Choi Electric Field Impact on Lateral Charge Diffusivity in Charge Trapping 3D NAND Flash Memory. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Wen Ma, Tung Thanh Hoang, Brian Hoskins, Matthew W. Daniels, Jabez J. McClelland, Yutong Gao, Gina C. Adam, Martin Lueker-Boden Effect of OTS Selector Reliabilities on NVM Crossbar-based Neuromorphic Training. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shou-En Liu, Jian Li, Deepak Nayak, Amit Marathe, Kaushik Balamukundhan, Vishal Gosavi, Ajaykumar Prajapati, Baha Kilic, Mengzhi Pang, Arpit Mittal Reliability Qualification Challenges of SOCs in Advanced CMOS Process Nodes (Invited). Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Camille Leurquin, William Vandendaele, Aby-Gaël Viey, Romain Gwoziecki, René Escoffier, R. Salot, G. Despesse, Ferdinando Iucolano, Roberto Modica, A. Constant Novel High Voltage Bias Temperature Instabilities (HV-BTI) setup to monitor RON/VTH drift on GaN-on-Si E-mode MOSc-HEMTs under drain voltage. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1S. J. Mao, J. B. Liu, Y. Wang, W. B. Liu, Y. P. Hu, H. W. Cui, R. Zhang, H. C. Liu, Z. X. Wang, N. Zhou, Y. K. Zhang, Hong Yang, Zhenhua Wu, Yongliang Li, J. F. Gao, Anyun Du, Junfeng Li, Jun Luo, Wenwu Wang 0006, Huaxiang Yin Investigation on Contacts Thermal Stability for 3D Sequential Integration. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Theresia Knobloch, Yury Yu. Illarionov, Tibor Grasser Finding Suitable Gate Insulators for Reliable 2D FETs. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Makoto Nagata Exploring Fault Injection Attack Resilience of Secure IC Chips : Invited Paper. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Luca Pirro, P. Liebscher, C. Brantz, M. Kessler, H. Herzog, Olaf Zimmerhackl, R. Jain, E. Ebrand, K. Gebauer, Michael Otto, Alban Zaka, Jan Hoentschel Impact of Electrical Defects located at Transistor Periphery on Analog and RTN Device Performance. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Vinayak Bharat Naik, J. H. Lim, Kazutaka Yamane, J. Kwon, Behin-Aein B., N. L. Chung, S. K 0001, R. Chao, C. Chiang, Y. Huang, L. Pu, Yuichi Otani, Suk Hee Jang, Nivetha Balasankaran, Wah-Peng Neo, T. Ling, Jia Wen Ting, Hongsik Yoon, Johannes Müller, Bert Pfefferling, Oliver Kallensee, Thomas Merbeth, Chim Seng Seet, J. Wong, Y. S. You, Steven Soss, T. H. Chan, S. Y. Siah Extended MTJ TDDB Model, and Improved STT-MRAM Reliability With Reduced Circuit and Process Variabilities. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Robert Stahlbush, Nadeemullah A. Mahadik, Peter Bonanno, Jake Soto, Bruce Odekirk, Woongje Sung, Anant K. Agarwal Defects in 4H-SiC epilayers affecting device yield and reliability. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yu-Lin Chu, Hsi-Yu Kuo, Hung-Da Dai, Kuan-Hung Chen, Pei-Jung Lin, Chun-Ting Liao, Ta-Chun Lin, Ming Feng, Swercy Chiu, Victor Liang New RC-Imbalance Failure Mechanism of Well Charging Damage and The Implemented Rule. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Takuya Wadatsumi, Kohei Kawai, Rikuu Hasegawa, Takuji Miki, Makoto Nagata, Kikuo Muramatsu, Hiromu Hasegawa, Takuya Sawada, Takahito Fukushima, Hisashi Kondo Voltage Surges by Backside ESD Impacts on IC Chip in Flip Chip Packaging. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tsunenobu Kimoto, Keita Tachiki, A. Iijima, Mitsuaki Kaneko Performance Improvement and Reliability Physics in SiC MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Germain Bossu, Shafi Syed, S. Evseev, Joris Angelo Sundaram Jerome, Wafa Arfaoui, D. Lipp, Mahesh Siddabathula 22FDX™ 5G 28GHz 20dBm Power Amplifier Constant Load and VSWR accelerated aging reliability. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bu-Il Nam, Young-Ha Choi, Sungki Hong, Ki-Young Dong, Wontaeck Jung, Sang-Won Park, Soon-Yong Lee, Dooyeun Jung, Byoung-Hee Kim, Eun-Kyoung Kim, Ki-Whan Song, Jai Hyuk Song, Woo Young Choi Novel Electrical Detection Method for Random Defects on Peripheral Circuits in NAND Flash Memory. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Emran K. Ashik, Sundar Babu Isukapati, Hua Zhang, Tianshi Liu, Utsav Gupta, Adam J. Morgan, Veena Misra, Woongje Sung, Ayman A. Fayed, Anant K. Agarwal, Bongmook Lee Bias Temperature Instability on SiC n- and p-MOSFETs for High Temperature CMOS Applications. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1M. Arabi, X. Federspiel, Florian Cacho, M. Rafik, S. Blonkowski, Xavier Garros, G. Guibaudo Frequency dependant gate oxide TDDB model. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Takato Nakanuma, Asato Suzuki, Yu Iwakata, Takuma Kobayashi, Mitsuru Sometani, Mitsuo Okamoto, Takuji Hosoi, Takayoshi Shimura, Heiji Watanabe Investigation of reliability of NO nitrided SiC(1100) MOS devices. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kazunari Ishimaru Challenges of Flash Memory for Next Decade. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nicola Modolo, Andrea Minetto, Carlo De Santi, Luca Sayadi, Sebastien Sicre, Gerhard Prechtl, Gaudenzio Meneghesso, Enrico Zanoni, Matteo Meneghini A Generalized Approach to Determine the Switching Reliability of GaN HEMTs on-Wafer Level. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Judith Berens, Thomas Aichinger A straightforward electrical method to determine screening capability of GOX extrinsics in arbitrary, commercially available SiC MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1John Osenbach Reliability of optoelectronic module An Introduction. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Runsheng Wang, Zuodong Zhang, Yawen Zhang, Yixuan Hu, Yanan Sun 0003, Weikang Qian, Ru Huang Can Emerging Computing Paradigms Help Enhancing Reliability Towards the End of Technology Roadmap? Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Allison T. Osmanson, Mohsen Tajedini, Yi Ram Kim, Hossein Madanipour, Choong-Un Kim, Bradley Glasscock, Muhammad Khan Mechanisms of Contact Formation and Electromigration Reliability in Wirebond Packages. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shih-che Hung, Shih-Chang Chen, Pei-Shan Chien, Yu-Sheng Cho, Yung-Huei Lee, Wei-Shuo Hung Time-Efficient Characterization of Time-Dependent Gate Oxide Breakdwon Using Tunable Ramp Voltage Stress (TRVS) Method for Automotive Applications. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Anastasiia Kruv, Sean R. C. McMitchell, Sergiu Clima, Oguzhan O. Okudur, Nicolo Ronchi, Geert Van den Bosch, Mario Gonzalez, Ingrid De Wolf, Jan Van Houdt Impact of mechanical strain on wakeup of HfO2 ferroelectric memory. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Valerio Milo, Francesco Anzalone, Cristian Zambelli, Eduardo Pérez, Mamathamba Kalishettyhalli Mahadevaiah, Oscar Gonzalez Ossorio, Piero Olivo, Christian Wenger, Daniele Ielmini Optimized programming algorithms for multilevel RRAM in hardware neural networks. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Florian Cacho, Lorena Anghel, Xavier Federspiel Monitoring Setup and Hold Timing Limits. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chloe Troussier, Johan Bourgeat, Blaise Jacquier, Emmanuel Simeu, Jean-Daniel Arnould Estimation of Oxide Breakdown Voltage During a CDM Event Using Very Fast Transmission Line Pulse and Transmission Line Pulse Measurements. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ke Zeng, Srabanti Chowdhury, Brendan Gunning, Robert Kaplar, Travis Anderson Study on Avalanche Uniformity in 1.2KV GaN Vertical PIN Diode with Bevel Edge-Termination. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Peng Xiao, Haris Hadziosmanovic, Michael Klessens, Rong Jiang, John Ortega 0002, Daniel Schroeder, James Palmer, Ilan Tsameret Customized Parallel Reliability Testing Platform with Multifold Throughput Enhancement for Intel Stressing Tests. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lieyi Sheng, Ihsiu Ho Universal Impacts of Local Electric Fields on the Projected Dielectric Lifetime. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jean-Marie Lauenstein, Megan C. Casey, Ray L. Ladbury, Hak S. Kim, Anthony M. Phan, Alyson D. Topper Space Radiation Effects on SiC Power Device Reliability. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Joseph P. Kozak, Qihao Song, Ruizhe Zhang 0003, Jingcun Liu, Yuhao Zhang Robustness of GaN Gate Injection Transistors under Repetitive Surge Energy and Overvoltage. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Giacomo Pedretti, Elia Ambrosi, Daniele Ielmini Conductance variations and their impact on the precision of in-memory computing with resistive switching memory (RRAM). Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tomohiro Kuyama, Keiichiro Urabe, Koji Eriguchi Evaluation methodology for assessment of dielectric degradation and breakdown dynamics using time-dependent impedance spectroscopy (TDIS). Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mauro J. Kobrinsky, Rahim Kasim Back End Of Line opportunities and reliability challenges for future technology nodes. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Luca Pirro, Aarthi Jayakumar, Olaf Zimmerhackl, Dieter Lipp, Ralf Illgen, Armin Muehlhoff, Ronny Pfuetzner, Alban Zaka, Michael Otto, Jan Hoentschel, Yannick Raffel, Konrad Seidel, Ricardo Olivo Comparison of Analog and Noise Performance between Buried Channel versus Surface Devices in HKMG I/O Devices. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shuntaro Fujii, Shohei Hamada, Tatsushi Yagi, Isao Maru, Shogo Katsuki, Toshiro Sakamoto, Atsushi Okamoto, Soichi Morita, Tsutomu Miyazaki Impacts of Depth and Lateral Profiles of Fluorine Atoms in Gate Oxide Films on Reliability. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jairo Nevarez, Anthony Olmedo, Rachel Williams, Polina Pechnikova Gate Driver Protection Methods for SiC MOSFET Short Circuit Testing. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Aby-Gaël Viey, William Vandendaele, Marie-Anne Jaud, Jean Coignus, Jacques Cluzel, Alexis Krakovinsky, Simon Martin 0006, Jérome Biscarrat, Romain Gwoziecki, Veronique Sousa, Fred Gaillard, Roberto Modica, Ferdinando Iucolano, Matteo Meneghini, Gaudenzio Meneghesso, Gérard Ghibaudo Study on the difference between ID(VG) and C(VG) pBTI shifts in GaN-on-Si E-mode MOSc-HEMT. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bikram Kishore Mahajan, Yen-Pu Chen, Dhanoop Varghese, Vijay Reddy, Srikanth Krishnan, Muhammad Ashraful Alam Quantifying Region-Specific Hot Carrier Degradation in LDMOS Transistors Using a Novel Charge Pumping Technique. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Francesca Chiocchetta, Claudia Calascione, Carlo De Santi, Chandan Sharma, Fabiana Rampazzo, Xun Zheng, Brian Romanczyk, Matthew Guidry, Haoran Li, Stacia Keller, Umesh K. Mishra, Gaudenzio Meneghesso, Matteo Meneghini, Enrico Zanoni Role of the AlGaN Cap Layer on the Trapping Behaviour of N-Polar GaN MISHEMTs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Qihao Song, Ruizhe Zhang 0003, Joseph P. Kozak, Jingcun Liu, Qiang Li, Yuhao Zhang Failure Mechanisms of Cascode GaN HEMTs Under Overvoltage and Surge Energy Events. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Longda Zhou, Zhaohao Zhang, Hong Yang, Zhigang Ji, Qianqian Liu, Qingzhu Zhang, Eddy Simoen, Huaxiang Yin, Jun Luo, Anyan Du, Chao Zhao, Wenwu Wang 0006 A Fast DCIV Technique for Characterizing the Generation and Repassivation of Interface Traps Under DC/ AC NBTI Stress/Recovery Condition in Si p-FinFETs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Mauro Ciappa, Marco Pocaterra Assessing the pre-breakdown carriers' multiplication in SiC power MOSFETs by soft gamma radiation and its correlation to the Terrestrial Cosmic Rays failure rate data as measured by neutron irradiation. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Louis Gerrer, Jacques Cluzel, Fred Gaillard, Xavier Garros, Xavier Federspiel, Florian Cacho, David Roy 0001, E. Vincent BTI Arbitrary Stress Patterns Characterization & Machine-Learning optimized CET Maps Simulations. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Satyam Kumar 0003, Tarun Samadder, Karansingh Thakor, Uma Sharma, Souvik Mahapatra Stochastic and Deterministic Modeling Frameworks for Time Kinetics of Gate Insulator Traps During and After Hot Carrier Stress in MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tibor Grasser, Barry J. O'Sullivan, Ben Kaczer, Jacopo Franco, Bernhard Stampfer, Michael Waltl CV Stretch-Out Correction after Bias Temperature Stress: Work-Function Dependence of Donor-/Acceptor-Like Traps, Fixed Charges, and Fast States. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Juan Bautista Roldán, David Maldonado, Francisco J. Alonso, Andrés M. Roldán, Fei Hui, Yuanyuan Shi, Francisco Jiménez-Molinos, Ana M. Aguilera, Mario Lanza Time series modeling of the cycle-to-cycle variability in h-BN based memristors. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tim McDonald, Stephanie Watts Butler Progress and Current Topics of JEDEC JC-70.1 Power GaN Device Quality and Reliability Standards Activity: Or: What is the Avalanche capability of your GaN Transistor? Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Donghee Son, Gang-Jun Kim, Jongkyun Kim, Nam-Hyun Lee, Kijin Kim, Sangwoo Pae Effect of High Temperature on Recovery of Hot Carrier Degradation of scaled nMOSFETs in DRAM. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Paul M. Solomon, Douglas M. Bishop, Teodor K. Todorov, Simon Dawes, Damon B. Farmer, Matthew Copel, Ko-Tao Lee, John Collins, John Rozen Transient Investigation of Metal-oxide based, CMOS-compatible ECRAM. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yao-Feng Chang, Ilya Karpov, Reed Hopkins, David Janosky, Jacob Medeiros, Benjamin Sherrill, Jiahan Zhang, Yifu Huang, Tanmoy Pramanik, Albert B. Chen, Tony Acosta, Abdullah Guler, James A. O'Donnell, Pedro A. Quintero, Nathan Strutt, Oleg Golonzka, Chris Connor, Jack C. Lee, Jeffrey Hicks Embedded emerging memory technologies for neuromorphic computing: temperature instability and reliability. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jae-Gyung Ahn, Rhesa Nathanael, I-Ru Chen, Ping-Chin Yeh, Jonathan Chang Product Lifetime Estimation in 7nm with Large data of Failure Rate and Si-Based Thermal Coupling Model. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhicheng Wu, Jacopo Franco, Brecht Truijen, Philippe Roussel, Stanislav Tyaginov, Michiel Vandemaele, Erik Bury, Guido Groeseneken, Dimitri Linten, Ben Kaczer Physics-based device aging modelling framework for accurate circuit reliability assessment. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Alexandra Feeley, Yoni Xiong, Bharat L. Bhuva, Balaji Narasimham, Shi-Ji Wen, Rita Fung Effects of Temperature and Supply Voltage on Soft Errors for 7-nm Bulk FinFET Technology. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1M. Monishmurali, Mayank Shrivastava Peculiar Current Instabilities & Failure Mechanism in Vertically Stacked Nanosheet ggN-FET. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 1201 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license