The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for faults with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1972 (16) 1973-1974 (15) 1975-1976 (17) 1977-1978 (20) 1979-1980 (31) 1981-1982 (24) 1983 (17) 1984 (16) 1985 (31) 1986 (43) 1987 (27) 1988 (63) 1989 (72) 1990 (99) 1991 (105) 1992 (122) 1993 (147) 1994 (156) 1995 (232) 1996 (251) 1997 (233) 1998 (231) 1999 (308) 2000 (368) 2001 (310) 2002 (434) 2003 (488) 2004 (575) 2005 (646) 2006 (726) 2007 (732) 2008 (660) 2009 (452) 2010 (232) 2011 (178) 2012 (181) 2013 (220) 2014 (226) 2015 (230) 2016 (263) 2017 (309) 2018 (293) 2019 (363) 2020 (363) 2021 (428) 2022 (401) 2023 (422) 2024 (117)
Publication types (Num. hits)
article(4437) book(1) data(5) incollection(32) inproceedings(7361) phdthesis(56) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 10002 occurrences of 3019 keywords

Results
Found 11893 publication records. Showing 11893 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
28Kaamran Raahemifar, Majid Ahmadi A Design-for-Testability Technique for Detecting Delay Faults in Logic Circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
28Jan Otterstedt, Dirk Niggemeyer, T. W. Williams Detection of CMOS address decoder open faults with March and pseudo random memory tests. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
28Irith Pomeranz, Sudhakar M. Reddy On the Detection of Reset Faults in Synchronous Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
28Irith Pomeranz, Sudhakar M. Reddy, Prasanti Uppaluri NEST: a nonenumerative test generation method for path delay faults in combinational circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
28Marcello Dalpasso, Michele Favalli, Piero Olivo, Bruno Riccò Fault simulation of parametric bridging faults in CMOS IC's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
28Said Hamdioui, Zaid Al-Ars, Ad J. van de Goor Testing Static and Dynamic Faults in Random Access Memories. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF static faults, fault coverage, memory tests, dynamic faults, fault primitives
28Brian Chess, Tracy Larrabee Logic Testing of Bridging Faults in CMOS Integrated Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF realistic faults, fault models, fault simulation, test pattern generation, Bridging faults
28Fran Hanchek, Shantanu Dutt Methodologies for Tolerating Cell and Interconnect Faults in FPGAs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF cell faults, wiring faults, Fault tolerance, Field Programmable Gate Array (FPGA), reconfiguration, yield improvement
28Fabrizio Lombardi, Chao Feng, Wei-Kang Huang Detection and Location of Multiple Faults in Baseline Interconnection Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF multiple faults location, baseline interconnection networks, faulty switching element, functional description, algorithm, fault diagnosis, fault tolerant computing, multiprocessor interconnection networks, multistage interconnection network, fault location, iterative process, multiple faults detection
28K. H. Kim, Howard O. Welch Distributed Execution of Recovery Blocks: An Approach for Uniform Treatment of Hardware and Software Faults in Real-Time Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF uniform treatment, time cost, distributed recovery blocks scheme, DRB scheme, forward recovery, load-sharing multiprocessing scheme, multimicrocomputer networks, fault tolerant computing, distributed processing, real-time applications, tolerance, software faults, distributed execution, distributed execution, recovery blocks, real-time computer systems, hardware faults
28Petra De Jong, Ad J. van de Goor Test Pattern Generation for API Faults in RAM. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF API faults, near optimal WRITE sequence, integrated circuit testing, BIST, automatic testing, fault location, test pattern generation, Hamiltonian paths, random-access storage, RAM, integrated memory circuits, pattern-sensitive faults
28Bhabani P. Sinha, Bhargab B. Bhattacharya On the Numerical Complexity of Short-Circuit Faults in Logic Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF Stirling numbers, Bell numbers, short-circuit faults, bridging faults, Fibonacci numbers, logic networks
28Kewal K. Saluja, Kozo Kinoshita Test Pattern Generation for API Faults in RAM. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF static pattern-sensitive faults, fault detection, Built-in testing, random-access memory, pattern-sensitive faults
28Christos A. Papachristou, Narendar B. Sahgal An Improved Method for Detecting Functional Faults in Semiconductor Random Access Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1985 DBLP  DOI  BibTeX  RDF random access memories (RAM's), fault models, memory testing, Coupling faults, functional faults
27Paul P. Lin, James H. Zhu Detection and isolation of process faults from actuator faults and sensor faults for a typical MIMO dynamic system. Search on Bibsonomy ICCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
27Marek Chrobak, Mathilde Hurand, Jirí Sgall Algorithms for testing fault-tolerance of sequenced jobs. Search on Bibsonomy J. Sched. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Scheduling, Fault-tolerance, Algorithms, Real-time systems
27Swarup Kumar Sahoo, Man-Lap Li, Pradeep Ramachandran, Sarita V. Adve, Vikram S. Adve, Yuanyuan Zhou Using likely program invariants to detect hardware errors. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Tianshe Yang, Zheng Xi, Lida Xu, Xiaoshe Dong, YongXuan Huang Application of uncertainty reasoning theory to satellite fault detection and diagnosis. Search on Bibsonomy SMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27James A. Jones, Mary Jean Harrold, James F. Bowring Debugging in Parallel. Search on Bibsonomy ISSTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF execution clustering, empirical study, program analysis, fault localization, automated debugging
27Ilia Polian, Damian Nowroth, Bernd Becker 0001 Identification of Critical Errors in Imaging Applications. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Low-cost on-line test, Selective hardening, Imaging applications, Error tolerance
27Mats Grindal, Birgitta Lindström, Jeff Offutt, Sten F. Andler An evaluation of combination strategies for test case selection. Search on Bibsonomy Empir. Softw. Eng. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF AETG, Testing experiment, Test case selection, Orthogonal arrays, Combination strategies
27Feng Shi 0010, Yiorgos Makris A Transistor-Level Test Strategy for C^2MOS MOUSETRAP Asynchronous Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Piotr Tomaszewski, Lars-Ola Damm Comparing the fault-proneness of new and modified code: an industrial case study. Search on Bibsonomy ISESE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF modified code, fault-proneness, fault prediction
27Kohei Miyase, Kenta Terashima, Seiji Kajihara, Xiaoqing Wen, Sudhakar M. Reddy On Improving Defect Coverage of Stuck-at Fault Tests. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Seiji Kajihara, Masayasu Fukunaga, Xiaoqing Wen, Toshiyuki Maeda, Shuji Hamada, Yasuo Sato Path delay test compaction with process variation tolerance. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF process variation, delay testing, path delay fault, test compaction
27Yuanyuan Yang 0001, Jianchao Wang Fault-Tolerant Rearrangeable Permutation Network. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF losing-contact fault, Fault tolerance, routing, cluster computing, fault model, permutation, switching networks, Clos networks, rearrangeable
27Giovanni Cantone, Zeiad A. Abdulnabi, Anna Lomartire, Giuseppe Calavaro Effectiveness of Code Reading and Functional Testing with Event-Driven Object-Oriented Software. Search on Bibsonomy ESERNET The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Chih-Wea Wang, Kuo-Liang Cheng, Chih-Tsun Huang, Cheng-Wen Wu Test and Diagnosis of Word-Oriented Multiport Memories. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Dolores M. Zage, Wayne M. Zage An Analysis of the Fault Correction Process in a Large-Scale SDL Production Model. Search on Bibsonomy ICSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Vivekananda M. Vedula, Jacob A. Abraham FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Roger T. Alexander, Jeff Offutt, James M. Bieman Syntactic Fault Patterns in OO Programs. Search on Bibsonomy ICECCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Itsuo Takanami, Masaru Sato, Yun Ping Yang A Fault-Value Injection Approach for Multiple-Weight-Fault Tolerance of MNNs. Search on Bibsonomy IJCNN (3) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multi-layered neural network, weight fault, fault-tolerance, fault injection
27Laurence Goodby, Alex Orailoglu Redundancy and testability in digital filter datapaths. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Mei-Huei Tang, Ming-Hung Kao, Mei-Hwa Chen An Empirical Study on Object-Oriented Metrics. Search on Bibsonomy IEEE METRICS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF CK metrics, object-oriented fault analysis, object-oriented metrics
27Irith Pomeranz, Sudhakar M. Reddy Test Compaction for Synchronous Sequential Circuits by Test Sequence Recycling. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF dynamic test compaction, synchronous sequential circuits, static test compaction
27Inhwan Lee, Ravishankar K. Iyer Software Dependability in the Tandem GUARDIAN System. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fault categorization, operational phase, Tandem GUARDIAN System, Measurement, software reliability, software fault tolerance, recurrence
27Chen-Yang Pan, Kwang-Ting Cheng, Sandeep Gupta 0001 A comprehensive fault macromodel for opamps. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27Patrick Kam Lui, Jon C. Muzio Constrained parity testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF compaction testing, parity testing, Built-in self-test, signature analysis
27F. Joel Ferguson, John Paul Shen A CMOS fault extractor for inductive fault analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
27Pramod Subramanyan, Virendra Singh, Kewal K. Saluja, Erik Larsson Energy-efficient redundant execution for chip multiprocessors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF redundant execution, microarchitecture, transient faults, permanent faults
27Said Hamdioui, Zaid Al-Ars, Javier Jiménez, Jose Calero PPM Reduction on Embedded Memories in System on Chip. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF static faults, PPM reduction, memory testing, dynamic faults
27Frances Perry, Lester W. Mackey, George A. Reis, Jay Ligatti, David I. August, David Walker 0001 Fault-tolerant typed assembly language. Search on Bibsonomy PLDI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF transient hardware faults, fault tolerance, typed assembly language, soft faults
27Kanad Chakraborty, Pinaki Mazumder New March Tests for Multiport RAM Devices. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multi-port RAM, simplex and duplex coupling faults, concurrent coupling faults
27Tsuyoshi Shinogi, Masahiro Ushio, Terumine Hayashi Cyclic greedy generation method for limited number of IDDQ tests. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cyclic greedy generation method, undetected faults, ISCAS85Y circuits, short circuit faults, fault diagnosis, integrated circuit testing, iterative methods, iterative method, CMOS integrated circuits, IDDQ tests, test patterns, CMOS IC, electric current measurement, cyclic, random patterns
27Takehiro Ito, Itsuo Takanami On fault injection approaches for fault tolerance of feedforward neural networks. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF snapping faults, learning cycle, ditribution of correlations, output neuron, fault tolerance, reliabilities, fault injection, stuck-at faults, computer simulation, learning algorithm, feedforward neural networks, feedforward neural nets, recognition rate, learning methods, internal structure
27Takaki Yoshida, Reisuke Shimoda, Takashi Mizokawa, Katsuhiro Hirayama An effective fault simulation method for core based LSI. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF effective fault simulation, core based LSI, handling time, random sampling method, distributed fault simulation, FPP, faults per pass, hyper faults, mask patterns, random processes, DFS, yield analysis
27James Jacob, Vishwani D. Agrawal Multiple fault detection in two-level multi-output circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF checkpoint faults, crosspoint faults, multi-output combinational circuits, fault modeling
27Michele Favalli, Piero Olivo, Bruno Riccò, Fabio Somenzi Fault simulation for general FCMOS ICs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF fault simulation, bridging faults, CMOS circuits, stuck-open faults, critical path analysis
26Umberto Ferraro Petrillo, Irene Finocchi, Giuseppe F. Italiano The Price of Resiliency: a Case Study on Sorting with Memory Faults. Search on Bibsonomy Algorithmica The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Memory faults, Computing with unreliable information, Sorting, Fault injection, Memory models, Experimental algorithmics
26Said Hamdioui, Zaid Al-Ars, Ad J. van de Goor Opens and Delay Faults in CMOS RAM Address Decoders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF address decoder delay faults, addressing methods, BIST, DFT, Memory testing, open defects
26Piet Engelke, Ilia Polian, Michel Renovell, Bernd Becker 0001 Automatic Test Pattern Generation for Resistive Bridging Faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF resistive short defects, ATPG, SAT, bridging faults
26Kai Chen 0009, Fan Jiang 0005, Chuan-dong Huang A new method of generating synchronizable test sequences that detect output-shifting faults based on multiple UIO sequences. Search on Bibsonomy SAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF output-shifting faults, synchronization problems, distributed system, conformance testing, FSM
26Zeng Shuiping, Li Jinhong Diagnosis System of the Anode Faults for Alumina Reduction Cell. Search on Bibsonomy ISDA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Aluminum production, diagnosis system, Anode effect, Anode faults, Fuzzy relation
26Stefan Dobrev Communication-Efficient Broadcasting in Complete Networks with Dynamic Faults. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Sense of Direction, Fault Tolerance, Distributed Computing, Broadcasting, Dynamic Faults, Complete Networks
26Ad J. van de Goor, Magdy S. Abadir, Alan Carlin Minimal Test for Coupling Faults in Word-Oriented Memories. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF State coupling faults, word-oriented memories, tests, data backgrounds, m-out-of-n codes
26Said Hamdioui, Ad J. van de Goor, Mike Rodgers March SS: A Test for All Static Simple RAM Faults. Search on Bibsonomy MTDT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF simple/linked faults, fault models, fault coverage, Memory testing, march test
26Andrea Bondavalli, Silvano Chiaradonna, Felicita Di Giandomenico, Fabrizio Grandoni 0002 Threshold-Based Mechanisms to Discriminate Transient from Intermittent Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Fault discrimination, threshold-based identification, transient and intermittent faults, fault diagnosis, modeling and evaluation
26Mohammad H. Azadmanesh, Roger M. Kieckhafer Exploiting Omissive Faults in Synchronous Approximate Agreement. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Approximate agreement, convergent voting algorithms, hybrid faults, clock synchronization, fault-tolerant distributed systems
26Andrzej Krasniewski Self-Testing of FPGA Delay Faults in the System Environment. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA, BIST, random testing, delay faults
26Chul Young Lee, D. M. H. Walker PROBE: A PPSFP Simulator for Resistive Bridging Faults. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF PPSFP, fault model, fault simulation, bridging fault, resistive bridging faults
26Klaus Echtle Avoiding Malicious Byzantine Faults by a New Signature Generation Technique. Search on Bibsonomy EDCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Malicious Byzantine Faults, Digital Signatures for Fault Tolerance, Agreement Protocols
26Debesh K. Das, Indrajit Chaudhuri, Bhargab B. Bhattacharya Design of an Optimal Test Pattern Generator for Built-in Self Testing of Path Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Built-in self-test, TPG, delay faults, robust testing, two-pattern tests
26Ankan K. Pramanick, Sudhakar M. Reddy Efficient multiple path propagating tests for delay faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF delay testing, path delay faults, robust tests, test efficiency
26Irith Pomeranz, Sudhakar M. Reddy Functional test generation for delay faults in combinational circuits. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF gate-level realizations, logic testing, delays, test generation, test generators, combinational circuits, fault simulated, logic CAD, delay faults, functional fault model, functional test generation
26Brian Chess, David B. Lavo, F. Joel Ferguson, Tracy Larrabee Diagnosis of realistic bridging faults with single stuck-at information. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF match requirement, match restriction, realistic bridging faults diagnosis, single stuck-at dictionaries, single stuck-at information, stuck-at diagnosis, stuck-at methods, fault diagnosis, logic testing, fault location, failure analysis, failure recovery
26Dimitris Gizopoulos, Dimitris Nikolos, Antonis M. Paschalis Testing combinational iterative logic arrays for realistic faults. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF combinational iterative logic arrays, realistic faults, two-dimensional logic arrays, one-dimensional logic arrays, n-pattern tests, linear-testability, efficient test set, ILA, VLSI, fault diagnosis, logic testing, integrated circuit testing, combinational circuits, cellular arrays, logic arrays, C-testability, cell fault model
26Sreejit Chakravarty, Yiming Gong Voting model based diagnosis of bridging faults in combinational circuits. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF MOS logic circuits, voting model based diagnosis, fault list, stuck-at fault dictionary, fault dropping rules, time efficiency, fault diagnosis, logic testing, combinational circuits, combinational circuits, bridging faults, diagnosis algorithm, space efficiency, majority logic, compact data structure
26Michele Favalli, Piero Olivo, Bruno Riccò Dynamic effects in the detection of bridging faults in CMOS ICs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF test invalidation, fault models, fault simulation, Bridging faults
26Yoshihiro Tohma, Kenshin Tokunaga, Shinji Nagase, Yukihisa Murata Structural Approach to the Estimation of the Number of Residual Software Faults Based on the Hyper-Geometric Distribution. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF residual software faults, hyper-geometric distribution, segmentation technique, composite estimation, growth curve, debugging, software reliability, software reliability, statistical analysis, programming theory, program debugging, hypergeometric distribution
26Sousuke Amasaki, Takashi Yoshitomi, Osamu Mizuno, Tohru Kikuno, Yasunari Takagi Statistical Analysis of Time Series Data on the Number of Faults Detected by Statistical Analysis of Time Series Data on the Number of Faults Detected by Software Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF software testing, software quality, statistical analysis
25Y. C. Tay, X. R. Zong A page fault equation for dynamic heap sizing. Search on Bibsonomy WOSP/SIPEW The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic tuning, heap size, garbage collection, page faults
25Liting Han, James F. Peters Rough Neural Fault Classification of Power System Signals. Search on Bibsonomy Trans. Rough Sets The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Power system faults, knowledge-based fault recognition, rough membership, rough neuron, rough neural network, classification, classify fusion
25Ahcène Bounceur, Salvador Mir, Emmanuel Simeu, Luís Rolíndez Estimation of Test Metrics for the Optimisation of Analogue Circuit Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Analogue fault simulation, Catastrophic and parametric faults, Process deviations, Analogue test, Statistical modeling
25Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Simone Borri, Magali Bastian Hage-Hassan ADOFs and Resistive-ADOFs in SRAM Address Decoders: Test Conditions and March Solutions. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF address decoders, memory testing, dynamic faults
25Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Simone Borri, Magali Hage-Hassan Efficient March Test Procedure for Dynamic Read Destructive Fault Detection in SRAM Memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SRAM core-cell, resistive open defects, memory testing, March test, dynamic faults
25Rim Belhassine-Cherif, Abderrazak Ghedamsi Multiple Fault Diagnostics for Communicating Nondeterministic Finite State Machines. Search on Bibsonomy ISCC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Communicating Nondetermistic Finite State Machine, Multiple faults, Diagnostic, Symptom
25Debesh K. Das, Uttam K. Bhattacharya, Bhargab B. Bhattacharya Isomorph-Redundancy in Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF testing, redundancy, ATPG, DFT, stuck-at faults, sequential machines
25Eric Rotenberg AR-SMT: A Microarchitectural Approach to Fault Tolerance in Microprocessors. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch prediction and value prediction, trace processors, transient faults, simultaneous multithreading, time redundancy
25Ad J. van de Goor, Issam B. S. Tlili Disturb Neighborhood Pattern Sensitive Fault. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Memory fault models, disturb coupling fault model, neighborhood pattern sensitive faults, test algorithms
25Janusz A. Brzozowski, Kaamran Raahemifar Testing C-elements is not elementary. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF C-elements testing, gate circuits, C-element, CMOS implementations, logic testing, logic tests, asynchronous circuits, fault location, stuck-at faults, speed-independence
25Marcelino B. Santos, M. Simões, Isabel C. Teixeira, João Paulo Teixeira 0001 Test preparation for high coverage of physical defects in CMOS digital ICs. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high defect coverage, CMOS digital ICs, pseudo realistic faults generation, test quality assessment, tabloid, iceTgen, I/sub DDQ/ test generation, test preparation, logic testing, integrated circuit testing, automatic testing, CMOS logic circuits, CMOS digital integrated circuits, physical defects
25Dhamin Al-Khalili, Côme Rozon, B. Stewart Testability analysis and fault modeling of BiCMOS circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF modeling, testability, faults, defects, BiCMOS
24Tomasz Rudnicki, Tomasz Garbolino, Krzysztof Gucwa, Andrzej Hlawiczka Effective BIST for crosstalk faults in interconnects. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Dennis Jeffrey, Neelam Gupta, Rajiv Gupta 0001 Effective and efficient localization of multiple faults using value replacement. Search on Bibsonomy ICSM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Wei-Shun Chuang, Shiu-Ting Lin, Wei-Chih Liu, James Chien-Mo Li Diagnosis of Multiple Scan Chain Timing Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Irith Pomeranz, Sudhakar M. Reddy Unspecified Transition Faults: A Transition Fault Model for At-Speed Fault Simulation and Test Generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Chao-Wen Tzeng, Jheng-Syun Yang, Shi-Yu Huang A versatile paradigm for scan chain diagnosis of complex faults using signal processing techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF profiling, design for testability, Diagnosis, fault, scan chain
24Sunghun Kim 0001, Thomas Zimmermann 0001, E. James Whitehead Jr., Andreas Zeller Predicting faults from cached history. Search on Bibsonomy ISEC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cache, locality, prediction, fault, bug
24Khaled El-Fakih, Anton Kolomeez, Svetlana Prokopenko, Nina Yevtushenko 0001 Extended Finite State Machine Based Test Derivation Driven by User Defined Faults. Search on Bibsonomy ICST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Ilinca Ciupa, Bertrand Meyer 0001, Manuel Oriol, Alexander Pretschner Finding Faults: Manual Testing vs. Random+ Testing vs. User Reports. Search on Bibsonomy ISSRE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Frances Perry, David Walker Reasoning about Control Flow in the Presence of Transient Faults. Search on Bibsonomy SAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Zhaoxiang Yi, Xiaodong Mu, Li Zhang, Xiongmei Zhang Interactive Software and Hardware Faults Diagnosis Based on Negative Selection Algorithm. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Alexandre Peixoto Ferreira, Daniel Mossé, Jae C. Oh Thermal Faults Modeling Using a RC Model with an Application to Web Farms. Search on Bibsonomy ECRTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Hyunjin Lee, Sangyeun Cho, Bruce R. Childers Performance of Graceful Degradation for Cache Faults. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Zhuo Zhang 0008, Sudhakar M. Reddy, Irith Pomeranz Warning: Launch off Shift Tests for Delay Faults May Contribute to Test Escapes. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Peter Nabende, Tom Wanyama An Expert System for Diagnosing Heavy-Duty Diesel Engine faults. Search on Bibsonomy SCSS (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Uncertainty, Diagnosis, Bayesian Belief Networks
24Jyun-Wei Chen, Ying-Yen Chen, Jing-Jia Liou Handling Pattern-Dependent Delay Faults in Diagnosis. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Sunghun Kim 0001, Thomas Zimmermann 0001, E. James Whitehead Jr., Andreas Zeller Predicting Faults from Cached History. Search on Bibsonomy ICSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Irith Pomeranz, Sudhakar M. Reddy Generation of Functional Broadside Tests for Transition Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Alfredo Benso, Alberto Bosio, Stefano Di Carlo, Giorgio Di Natale, Paolo Prinetto Automatic march tests generations for static linked faults in SRAMs. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 11893 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license