The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ATPG with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1990 (28) 1991-1993 (24) 1994-1995 (46) 1996 (38) 1997 (37) 1998 (36) 1999 (52) 2000 (47) 2001 (42) 2002 (58) 2003 (61) 2004 (54) 2005 (65) 2006 (67) 2007 (50) 2008 (45) 2009 (30) 2010 (16) 2011-2012 (20) 2013 (16) 2014-2015 (25) 2016-2017 (27) 2018-2019 (21) 2020-2022 (23) 2023 (11)
Publication types (Num. hits)
article(216) incollection(4) inproceedings(717) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1073 occurrences of 407 keywords

Results
Found 939 publication records. Showing 939 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14Wen Ching Wu, Chung-Len Lee 0001, Jwu E. Chen Identification of robust untestable path delay faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF robust untestable path delay faults, path reconvergence of fanouts, ISCAS 85' circuits, total path delay faults, six-valued logic, propagation graph, ROUNTEST program, fault diagnosis, logic testing, delays, partitioning, ATPG, combinational circuits, combinational circuits, automatic testing, logic CAD, multivalued logic, logic partitioning, signal flow graphs, fault identification
14Dhruva R. Chakrabarti, Ajai Jain An improved hierarchical test generation technique for combinational circuits with repetitive sub-circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF hierarchical test generation technique, repetitive subcircuits, hierarchical testing algorithm, bus fault model, high-level subcircuits, high level incompatibility, test generation time, complete fault coverage, computational complexity, fault diagnosis, logic testing, high level synthesis, design for testability, design for testability, ATPG, combinational circuits, combinational circuits, logic CAD, automatic test software, signal flow graphs, state transition graph
14Irith Pomeranz, Sudhakar M. Reddy Static compaction for two-pattern test sets. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF two-pattern test sets, static compaction procedure, test set size reduction, redundant tests removal, redundant patterns removal, CMOS stuck open faults, reordering of tests, digital logic circuits, fault diagnosis, logic testing, delays, built-in self test, integrated circuit testing, ATPG, combinational circuits, combinational circuits, automatic testing, fault coverage, CMOS logic circuits, delay faults
14Jacob Savir Generator choices for delay test. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF BIST based delay test, generator choices, delay test vector generator, nonscan designs, transition test, skewed-load delay test, shift dependency, digital logic circuits, performance, VLSI, fault diagnosis, logic testing, delays, built-in self test, integrated circuit testing, ATPG, automatic testing, flexibility, linear feedback shift register, cost, shift registers, scan designs, boundary scan testing, test vectors, timing requirement, pseudo-random test
14Manfred Henftling, Hannes C. Wittmann, Kurt Antreich A single-path-oriented fault-effect propagation in digital circuits considering multiple-path sensitization. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF test generation, ATPG, propagation
14Ananta K. Majhi, James Jacob, Lalit M. Patnaik, Vishwani D. Agrawal An efficient automatic test generation system for path delay faults in combinational circuits. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automatic test generation system, test pattern generation system, nonrobust tests, nine-value logic system, multiple backtrace procedure, path selection method, logic testing, delays, integrated circuit testing, fault detection, ATPG, combinational circuits, combinational circuits, automatic testing, fault location, multivalued logic, logic circuits, integrated logic circuits, path delay faults, robust tests
14Arno Kunzmann, Frank Böhland Self-test of sequential circuits with deterministic test pattern sequences. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Deterministic Test Pattern Sequences, Field-Programmable Gate-Arrays (FPGAs), Design-for-Testability, Sequential Circuits, Automatic Test Pattern Generation (ATPG), Self-Test
9S. Krishna Kumar, S. Kaundinya, Subhadip Kundu, Santanu Chattopadhyay Customizing pattern set for test power reduction via improved X-identification and reordering. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF don't care bits, runtime leakage power, vector reordering, x-fill, dynamic power
9Yung-Chih Chen, Chun-Yao Wang Node addition and removal in the presence of don't cares. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF node addition and removal, node merging, observability don't care, logic implication
9Aftab Farooqi, Richard O. Gale, Sudhakar M. Reddy, Brian Nutter, Chris Monico Markov source based test length optimized SCAN-BIST architecture. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Anshuman Chandra, Yasunari Kanzawa, Rohit Kapur Proactive management of X's in scan chains for compression. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Alejandro Czutro, Ilia Polian, Matthew Lewis 0004, Piet Engelke, Sudhakar M. Reddy, Bernd Becker 0001 TIGUAN: Thread-Parallel Integrated Test Pattern Generator Utilizing Satisfiability ANalysis. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Nilanjan Mukherjee 0001, Janusz Rajski, Jerzy Tyszer Defect Aware to Power Conscious Tests - The New DFT Landscape. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Da Wang, Yu Hu 0001, Huawei Li 0001, Xiaowei Li 0001 Design-for-Testability Features and Test Implementation of a Giga Hertz General Purpose Microprocessor. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF microprocessor design-for-testability, built-in self-test, test generation, at-speed testing
9Roberto Gómez 0001, Alejandro Girón, Víctor H. Champac A Test Generation Methodology for Interconnection Opens Considering Signals at the Coupled Lines. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Interconnection opens, Boolean testing, Favorable logic conditions, Test generation methodology, Coupling capacitances
9Kyriakos Christou, Maria K. Michael, Spyros Tragoudas On the Use of ZBDDs for Implicit and Compact Critical Path Delay Fault Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Zero-suppressed binary decision diagram, Irredundant sum-of-products, Critical path delay faults, Compact test generation, Delay testing, Path delay faults
9Anshuman Chandra, Felix Ng, Rohit Kapur Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Francis G. Wolff, Christos A. Papachristou, Swarup Bhunia, Rajat Subhra Chakraborty Towards Trojan-Free Trusted ICs: Problem Analysis and Detection Scheme. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Wei-Min Wu, Min-Chuan Chen USAT: An Integrated Platform for Satisfiability Solving and Model Checking. Search on Bibsonomy CSSE (4) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Mohammad Reza Kakoee, Mohammad Riazati, Siamak Mohammadi Enhancing the Testability of RTL Designs Using Efficiently Synthesized Assertions. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Assertion Synthesis, Assertion Unification, Online Testing
9Hui Liu, Huawei Li 0001, Yu Hu 0001, Xiaowei Li 0001 A Scan-Based Delay Test Method for Reduction of Overtesting. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF overtesting, SeBoS, delay test, IR drop
9Yinlei Yu, Cameron Brien, Sharad Malik Exploiting Circuit Reconvergence through Static Learning in CNF SAT Solvers. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Ilia Polian, Hideo Fujiwara Functional Constraints vs. Test Compression in Scan-Based Delay Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Overtesting prevention, Scan-based delay test, Test compression, Functional constraints
9Swaroop Ghosh, Swarup Bhunia, Kaushik Roy 0001 Low-Power and testable circuit synthesis using Shannon decomposition. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Shannon expansion, dynamic supply gating, test coverage, Design-for-test, IDDQ, noise immunity, test power
9Ozgur Sinanoglu, Philip Schremmer Diagnosis, modeling and tolerance of scan chain hold-time violations. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Tong-Yu Hsieh, Kuen-Jong Lee, Melvin A. Breuer Reduction of detected acceptable faults for yield improvement via error-tolerance. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Sankar Gurumurthy, Ramtilak Vemu, Jacob A. Abraham, Daniel G. Saab Automatic Generation of Instructions to Robustly Test Delay Defects in Processors. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Stefan Holst, Hans-Joachim Wunderlich Adaptive Debug and Diagnosis without Fault Dictionaries. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF VLSI, Test, Debug, Diagnosis
9Ahmad A. Al-Yamani, Narendra Devta-Prasanna, Arun Gunda Systematic Scan Reconfiguration. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF systematic scan reconfiguration, test data compression technique, single-stuck fault test sets, transition fault test sets, scan chains
9Seiji Kajihara, Shohei Morishima, Masahiro Yamamoto, Xiaoqing Wen, Masayasu Fukunaga, Kazumi Hatayama, Takashi Aikyo Estimation of delay test quality and its application to test generation. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Sanjiv Taneja Accelerating Yield Ramp through Real-Time Testing. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Jen-Chieh Ou, Daniel G. Saab, Qiang Qiang, Jacob A. Abraham Reducing verification overhead with RTL slicing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF verification, test, CAD
9Nagesh Nagapalli DFT and Test: Ensuring Product Quality. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Jennifer Dworak An Analysis of Defect Detection for Weighted Random Patterns Generated with Observation/Excitation-Aware Partial Fault Targeting. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Hafizur Rahaman 0001, Jimson Mathew, Biplab K. Sikdar, Dhiraj K. Pradhan Transition Fault Testability in Bit Parallel Multipliers over GF(2^{m}). Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cryptography, polynomials, Multipliers, Galois field, error control code, Transition fault, C-testable
9Jacob A. Abraham, Daniel G. Saab Tutorial T4A: Formal Verification Techniques and Tools for Complex Designs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Hafizur Rahaman 0001, Jimson Mathew, Dhiraj K. Pradhan Constant Function Independent Test Set for Fault Detection in Bit Parallel Multipliers in GF(2^m). Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Nitin Yogi, Vishwani D. Agrawal Spectral RTL Test Generation for Microprocessors. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Virendra Singh, Michiko Inoue, Kewal K. Saluja, Hideo Fujiwara Instruction-Based Self-Testing of Delay Faults in Pipelined Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Gang Zeng, Hideo Ito Concurrent core test for SOC using shared test set and scan chain disable. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Ilia Polian, Hideo Fujiwara Functional constraints vs. test compression in scan-based delay testing. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF overtesting prevention, scan-based delay test, test compression, functional constraints
9Christian Galke, U. Gätzschmann, Heinrich Theodor Vierhaus Scan-Based SoC Test Using Space / Time Pattern Compaction Schemes. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Narendra Devta-Prasanna, Arun Gunda, P. Krishnamurthy, Sudhakar M. Reddy, Irith Pomeranz A Unified Method to Detect Transistor Stuck-Open Faults and Transition Delay Faults. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Gennette Gill, Ankur Agiwal, Montek Singh, Feng Shi 0010, Yiorgos Makris Low-Overhead Testing of Delay Faults in High-Speed Asynchronous Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Youhua Shi, Nozomu Togawa, Shinji Kimura, Masao Yanagisawa, Tatsuo Ohtsuki FCSCAN: an efficient multiscan-based test compression technique for test cost reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Margrit R. Krug, Marcelo de Souza Moraes, Marcelo Lubaszewski Using a software testing technique to identify registers for partial scan implementation. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware testing, testability improvement, hardware description language, automatic test generation, partial scan design
9Nisar Ahmed, Mohammad Tehranipoor, Vinay Jayaram A novel framework for faster-than-at-speed delay test considering IR-drop effects. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Zhaohui Fu, Sharad Malik Solving the minimum-cost satisfiability problem using SAT based branch-and-bound search. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MinCostSAT, optimization, branch-and-bound, Boolean satisfiability
9Jiann-Chyi Rau, Jun-Yi Chang, Chien-Shiun Chen A broadcast-based test scheme for reducing test size and application time. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Stelios Neophytou, Maria K. Michael, Spyros Tragoudas Efficient Deterministic Test Generation for BIST Schemes with LFSR Reseeding. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Gopal Paul, Ajit Pal, Bhargab B. Bhattacharya On finding the minimum test set of a BDD-based circuit. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF binary decision diagram (BDD), multiplexors, network flow, stuck-at faults, VLSI testing
9Abhijit Jas, Yi-Shing Chang, Sreejit Chakravarty An Approach to Minimizing Functional Constraints. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Narendra Devta-Prasanna, Arun Gunda, P. Krishnamurthy, Sudhakar M. Reddy, Irith Pomeranz Test Generation for Open Defects in CMOS Circuits. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Richard Putman, Rahul Gawde Enhanced Timing-Based Transition Delay Testing for Small Delay Defects. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Xijiang Lin, Janusz Rajski The Impacts of Untestable Defects on Transition Fault Testing. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Eric N. Tran, Vishwashanth Kasulasrinivas, Sreejit Chakravarty Silicon Evaluation of Logic Proximity Bridge Patterns. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Debasis Mitra 0002, Subhasis Bhattacharjee, Susmita Sur-Kolay, Bhargab B. Bhattacharya, Sujit T. Zachariah, Sandip Kundu Test Pattern Generation for Power Supply Droop Faults. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Loganathan Lingappan, Niraj K. Jha Improving the Performance of Automatic Sequential Test Generation by Targeting Hard-to-Test Faults. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jiann-Chyi Rau, Po-Han Wu, Chia-Jung Liu A Novel Hardware Architecture for Low Power and Rapid Testing of VLSI Circuits. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Maria K. Michael, Spyros Tragoudas Function-based compact test pattern generation for path delay faults. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Hamidreza Hashempour, Fabrizio Lombardi Application of Arithmetic Coding to Compression of VLSI Test Data. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Golomb coding, SoC, ATE, arithmetic coding, Huffman coding, Test data compression
9Jaan Raik, Tanel Nõmmeots, Raimund Ubar A New Testability Calculation Method to Guide RTL Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test pattern generation, register-transfer level, decision diagrams, testability measures
9Xiao Liu 0010, Michael S. Hsiao, Sreejit Chakravarty, Paul J. Thadikaran Efficient techniques for transition testing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test chain, test data volume reduction, transition faults, Test application time reduction, yield loss
9Jonathan R. Carter, Sule Ozev, Daniel J. Sorin Circuit-Level Modeling for Concurrent Testing of Operational Defects due to Gate Oxide Breakdown. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Matthias Beck, Olivier Barondeau, Martin Kaibel, Frank Poehl, Xijiang Lin, Ron Press Logic Design for On-Chip Test Clock Generation - Implementation Details and Impact on Delay Test Quality. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Carlos Eduardo Savioli, Claudio C. Czendrodi, José Vicente Calvano, Antonio Carneiro de Mesquita Filho Fault-Trajectory Approach for Fault Diagnosis on Analog Circuits. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9René Krenz, Elena Dubrova A fast algorithm for finding common multiple-vertex dominators in circuit graphs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9René Krenz, Elena Dubrova Improved Boolean function hashing based on multiple-vertex dominators. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Yung-Chieh Lin, Feng Lu 0002, Kai Yang, Kwang-Ting Cheng Constraint extraction for pseudo-functional scan-based delay testing. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Sameer Goel, Rubin A. Parekhji Choosing the Right Mix of At-speed Structural Test Patterns: Comparisons in Pattern Volume Reduction and Fault Detection Efficiency. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF delay fault simulation, N-detect coverage metrics, Delay fault test, test optimizations
9Swaroop Ghosh, Swarup Bhunia, Kaushik Roy 0001 Shannon Expansion Based Supply-Gated Logic for Improved Power and Testability. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Zhigang Jiang, Sandeep K. Gupta 0001 Threshold testing: Covering bridging and other realistic faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Vishwani D. Agrawal, Alok S. Doshi Concurrent Test Generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Shantanu Gupta, Tarang Vaish, Santanu Chattopadhyay Flip-flop chaining architecture for power-efficient scan during test application. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Katherine Shu-Min Li, Chung-Len Lee 0001, Tagin Jiang, Chauchin Su, Jwu E. Chen Finite State Machine Synthesis for At-Speed Oscillation Testability. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Hiroyuki Nakamura, Akio Shirokane, Yoshihito Nishizaki, Anis Uzzaman, Vivek Chickermane, Brion L. Keller, Tsutomu Ube, Yoshihiko Terauchi Low Cost Delay Testing of Nanometer SoCs Using On-Chip Clocking and Test Compression. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Ondrej Novák, Jirí Zahrádka, Zdenek Plíva COMPAS - Compressed Test Pattern Sequencer for Scan Based Circuits. Search on Bibsonomy EDCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Maria K. Michael, Kyriakos Christou, Spyros Tragoudas Towards finding path delay fault tests with high test efficiency using ZBDDs. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Virendra Singh, Michiko Inoue, Kewal K. Saluja, Hideo Fujiwara Instruction-based delay fault self-testing of pipelined processor cores. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9René Krenz Efficient computation of dominators in multiple-output circuit graphs. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Zhuo Zhang 0008, Sudhakar M. Reddy, Irith Pomeranz On Generating Pseudo-Functional Delay Fault Tests for Scan Designs. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Roberto Gómez 0001, Alejandro Girón, Víctor H. Champac Test of Interconnection Opens Considering Coupling Signals. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Ahmad A. Al-Yamani, Narendra Devta-Prasanna, Arun Gunda Should Illinois-Scan Based Architectures be Centralized or Distributed? Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Xiaoqing Wen, Yoshiyuki Yamashita, Seiji Kajihara, Laung-Terng Wang, Kewal K. Saluja, Kozo Kinoshita On Low-Capture-Power Test Generation for Scan Testing. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Mehrdad Nourani, Mohammad Tehranipoor, Nisar Ahmed Pattern Generation and Estimation for Power Supply Noise Analysis. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Peter Wohl, John A. Waicukauski, Sanjay Patel, Cy Hay, Emil Gizdarski, Ben Mathew Hierarchical Compactor Design for Diagnosis in Deterministic Logic BIST. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Aniket, Ravishankar Arunachalam Novel Algorithm for Testing Crosstalk Induced Delay Faults in VLSI Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Ramaprasath Vilangudipitchai, Poras T. Balsara Power Switch Network Design for MTCMOS. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Ganapathy Parthasarathy, Madhu K. Iyer, Kwang-Ting Cheng, Forrest Brewer Structural search for RTL with predicate learning. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF learning, satisfiability, interval arithmetic, predicate abstraction
9Kohei Miyase, Seiji Kajihara XID: Don't care identification of test patterns for combinational circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Janusz Rajski, Jerzy Tyszer, Mark Kassab, Nilanjan Mukherjee 0001 Embedded deterministic test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Xiang Lu, Zhuo Li 0001, Wangqi Qiu, D. M. H. Walker, Weiping Shi A Circuit Level Fault Model for Resistive Shorts of MOS Gate Oxide. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Paolo Bernardi, Guido Masera, Federico Quaglio, Matteo Sonza Reorda Testing Logic Cores using a BIST P1500 Compliant Approach: A Case of Study. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Hani Rizk, Christos A. Papachristou, Francis G. Wolff Designing Self Test Programs for Embedded DSP Cores. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Wu-Tung Cheng, Kun-Han Tsai, Yu Huang 0005, Nagesh Tamarapalli, Janusz Rajski Compactor Independent Direct Diagnosis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Melvin A. Breuer, Sandeep K. Gupta 0001, Shahin Nazarian Efficient Identification of Crosstalk Induced Slowdown Targets. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF required time, slow-down effect, filters, Crosstalk, static timing analysis, extractors
9Melvin A. Breuer Intelligible Test Techniques to Support Error-Tolerance. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Petr Fiser, Hana Kubátová Survey of the Algorithms in the Column-Matching BIST Method. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Saravanan Padmanaban, Spyros Tragoudas An Adaptive Path Delay Fault Diagnosis Methodology. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Abhishek Singh 0001, Chintan Patel, Jim Plusquellic Fault Simulation Model for i{DDT} Testing: An Investigation. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 939 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license