The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for delay with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1960 (16) 1961-1964 (16) 1965-1966 (16) 1967-1968 (18) 1969-1970 (20) 1971-1973 (22) 1974 (16) 1975-1976 (30) 1977 (18) 1978 (17) 1979 (19) 1980 (15) 1981 (26) 1982 (36) 1983 (40) 1984 (47) 1985 (56) 1986 (76) 1987 (75) 1988 (98) 1989 (105) 1990 (167) 1991 (180) 1992 (209) 1993 (224) 1994 (330) 1995 (441) 1996 (404) 1997 (482) 1998 (504) 1999 (672) 2000 (767) 2001 (1016) 2002 (1191) 2003 (1454) 2004 (1977) 2005 (2360) 2006 (2902) 2007 (3020) 2008 (3172) 2009 (2327) 2010 (1586) 2011 (1582) 2012 (1659) 2013 (1782) 2014 (1748) 2015 (1762) 2016 (1821) 2017 (1817) 2018 (1873) 2019 (2021) 2020 (1910) 2021 (1775) 2022 (1790) 2023 (1865) 2024 (427)
Publication types (Num. hits)
article(23373) book(12) data(5) incollection(72) inproceedings(26312) phdthesis(221) proceedings(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 18369 occurrences of 5291 keywords

Results
Found 50014 publication records. Showing 49999 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Gregg N. Hoyer, Gin Yee, Carl Sechen Locally clocked pipelines and dynamic logic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki Maple-opt: a performance-oriented simultaneous technology mapping, placement, and global routing algorithm for FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Manjit Borah, Robert Michael Owens, Mary Jane Irwin Recent Developments in Performance Driven Steiner Routing: An Overview. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Hannah Honghua Yang, D. F. Wong 0001 Edge-map: optimal performance driven technology mapping for iterative LUT based FPGA designs. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19A. Khanna, John A. Zinky The Revised ARPANET Routing Metric. Search on Bibsonomy SIGCOMM The full citation details ... 1989 DBLP  DOI  BibTeX  RDF ARPANET
18Tommaso Rizzo, József Stéger, Péter Pollner, István Csabai, Gábor Vattay High quality queueing information from accelerated active network tomography. Search on Bibsonomy TRIDENTCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF oneway delay measurement, queueing delay tomography
18Wafa Berrayana, Ryad Ben-El-Kezadri, Farouk Kamoun, Guy Pujolle DelayEDD-HCCA and RT-HCCA: two new IEEE 802.11 e schemes based HCCA supporting real-time applications. Search on Bibsonomy MobiMedia The full citation details ... 2006 DBLP  DOI  BibTeX  RDF delay EDD, simulation, QoS, real-time applications, IEEE 802.11e, delay bound, HCCA
18Sameer Goel, Rubin A. Parekhji Choosing the Right Mix of At-speed Structural Test Patterns: Comparisons in Pattern Volume Reduction and Fault Detection Efficiency. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF delay fault simulation, N-detect coverage metrics, Delay fault test, test optimizations
18Muhammad Nummer, Manoj Sachdev Testing high-performance pipelined circuits with slow-speed testers. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF high-performance testing, Delay-fault testing, design for delay testability
18Jason Cong, Lei He 0001, Cheng-Kok Koh, David Zhigang Pan Global interconnect sizing and spacing with consideration of coupling capacitance. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF GISS solutions, asymmetric wire sizing, delay reduction, fringing capacitances, global interconnect sizing and spacing, global wire sizing, interconnect delay minimization, multiple nets, optimal wire sizing, spacing solution, symmetric effective fringing properties, VLSI, coupling capacitance
18Tianxiong Xue, Ernest S. Kuh Post routing performance optimization via multi-link insertion and non-uniform wiresizing. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF post routing performance optimization, link insertion and wiresizing, delay skew, routing area, delay
18S. Krishnakumar, P. Suresh, S. Sadashiva Rao, M. P. Pareek, R. Gupta A single chip, pipelined, cascadable, multichannel, signal processor. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF delay lines, single chip signal processor, cascadable processor, multichannel signal processor, programmable delay line, memory mapped peripheral, online diagnostics, shadow accumulators, double metal CMOS process, 144 pin CPGA, VLSI, timing, pipeline processing, digital signal processing chips, pipelined processor, CMOS digital integrated circuits, array multipliers, DSP architecture, 2 micron
18Tahir Nawaz Minhas, Markus Fiedler, Patrik Arlos Quantification of packet delay variation through the coefficient of throughput variation. Search on Bibsonomy IWCMC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF coefficient of throughput variation, network measurement traffice shapping, packet delay variation, traffic shaper, performance analysis, throughput
18Jin-Tai Yan, Zhi-Wei Chen Resource-constrained timing-driven link insertion for critical delay reduction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF critical delay, link insertion, non-tree
18Emre Salman, Eby G. Friedman Methodology to achieve higher tolerance to delay variations in synchronous circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF delay uncertainty, environmental variation, robust circuit, process variation, tolerance
18Fotis N. Koumboulis, Nikolaos D. Kouvakas, Paraskevas N. Paraskevopoulos Dynamic disturbance rejection controllers for neutral time delay systems with application to a central heating system. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF neutral time delay, disturbance rejection, measurable disturbances, central heating, linearization
18Wei Gao 0006, Qinghua Li, Bo Zhao 0009, Guohong Cao Multicasting in delay tolerant networks: a social network perspective. Search on Bibsonomy MobiHoc The full citation details ... 2009 DBLP  DOI  BibTeX  RDF community, social network, multicast, delay tolerant network, centrality
18Huimin Xiao Stability of Hopfield Neural Networks with Time-Varying Delay. Search on Bibsonomy ISNN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF neural networks, Stability, time-delay, Lyapunov functions
18Valentina Martina, Michele Garetto, Emilio Leonardi Delay-throughput performance in mobile ad-hoc networks with heterogeneous nodes. Search on Bibsonomy MSWiM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF delay-throughput trade-offs, scaling laws
18Eun Cheol Kim, Jin Young Kim 0001 Performance Evaluation of PN Code Acquisition with Delay Diversity Receiver for TH-UWB System. Search on Bibsonomy ICCSA (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Delay diversity receiver, equal gain combining (EGC), mean acquisition time, pseudo-noise (PN) code acquisition, time hopping-ultra wideband (TH-UWB) system
18N. Pete Sedcole, Peter Y. K. Cheung Parametric Yield Modeling and Simulations of FPGA Circuits Considering Within-Die Delay Variations. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF statistical theory, within-die variability, modeling, FPGA, Delay, reconfiguration, process variation, yield
18S. V. Narasimhan, Nandini Basumallick, Ratana Chaitanya Improved phase estimation based on complete bispectrum and modified group delay. Search on Bibsonomy Signal Image Video Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bispectrum, Modified group delay, Non-minimum phase system identification, Phase estimation
18Stefan Tillich, Martin Feldhofer, Thomas Popp, Johann Großschädl Area, Delay, and Power Characteristics of Standard-Cell Implementations of the AES S-Box. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF substitution box (S-box), inversion in the finite field GF($28$), standard cell implementation, Advanced Encryption Standard (AES), power consumption, silicon area, critical path delay
18Najet Boughanmi, Yeqiong Song A New Routing Metric for Satisfying Both Energy and Delay Constraints in Wireless Sensor Networks. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF delivery rate, wireless sensor network, routing, real-time, delay, energy, lifetime
18Houman Homayoun, Sudeep Pasricha, Mohammad A. Makhzan, Alexander V. Veidenbaum Improving performance and reducing energy-delay with adaptive resource resizing for out-of-order embedded processors. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF energy-delay, out-of-order embedded processor, resource resizing, performance, architecture
18Marcus Brenner, Armin Zimmermann Analysis of Delay Time Distributions in Multistage Interconnection Networks Considering Multicast Traffic. Search on Bibsonomy NCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Quality of Service, performance analysis, multicasting, Multistage interconnection networks, delay distributions
18Jyh-Horng Wen, Hsi-Chou Hsu Adaptive Filter for Delay Line Combination (DLC) Receivers. Search on Bibsonomy CNSR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF impulse radio (IR), delay line combination (DLC) receivers, ultra-wideband (UWB)
18Antonios Skordylis, Niki Trigoni Delay-bounded routing in vehicular ad-hoc networks. Search on Bibsonomy MobiHoc The full citation details ... 2008 DBLP  DOI  BibTeX  RDF minimum cost forwarding, routing, ad hoc network, delay, VANET, vehicular network, inter-vehicle communication
18György Dán, Viktória Fodor Delay Bounds and Scalability for Overlay Multicast. Search on Bibsonomy Networking The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Scalability, Delay, Overlay multicast, Large-deviation theory
18Mirco Musolesi, Cecilia Mascolo A framework for multi-region delay tolerant networking. Search on Bibsonomy Wireless Networks and Systems for Developing Regions The full citation details ... 2008 DBLP  DOI  BibTeX  RDF communication paradigms, multi-region protocols, persistent caching, push/pull architecture, delay tolerant networking, data replication
18Joris Walraevens, Dieter Fiems, Herwig Bruneel Analysis of the Transient Delay in a Discrete-Time Buffer with Batch Arrivals. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF delay characteristics, performance, Queueing theory, generating functions
18Geng Ji 0002 Disturbance Attenuating Controller Design for a Class of Nonlinear Systems with Unknown Time-Delay. Search on Bibsonomy ISNN (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Disturbance attenuation, input-to-state practically stable, adaptive neural network control, nonlinear time-delay systems
18Zhi-Ting Lin, Yugui Qu, Qing-Hua Wang, Baohua Zhao E-Scheme in Delay-Tolerant Networks. Search on Bibsonomy APNOMS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF E-Scheme, routing approach, Delay-Tolerant Network
18Sankar Gurumurthy, Ramtilak Vemu, Jacob A. Abraham, Suriyaprakash Natarajan On efficient generation of instruction sequences to test for delay defects in a processor. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF native-mode self-test, delay test, software based self-test
18DiaaEldin Khalil, Yehea I. Ismail, Muhammad M. Khellah, Tanay Karnik, Vivek De Analytical Model for the Propagation Delay of Through Silicon Vias. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 3D integrated circuits, propagation delay model, dimensional analysis, TSV
18Vishal J. Mehta, Malgorzata Marek-Sadowska, Kun-Han Tsai, Janusz Rajski Timing-Aware Multiple-Delay-Fault Diagnosis. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF defect-diagnosis, diagnosis, ATPG, DFT, delay-testing
18Hidetoshi Kobayashi, Kazumasa Takami Algorithm for Selecting either an Overlay or Flat Route Based on the Amount of the Delay Measurement Load on the Home Agent in a Hierarchical Mobile IPv6 Network. Search on Bibsonomy NEW2AN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Packet delay, Route Selection, Hierarchical Mobile IPv6
18Igor Keller, King Ho Tam, Vinod Kariat Challenges in gate level modeling for delay and SI at 65nm and below. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF delay calculation, gate characterization, gate modeling
18Wanqing Tu, Cormac J. Sreenan, Weijia Jia 0001 Worst-Case Delay Control in Multigroup Overlay Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Worst-case delay control, multiple groups, overlay multicast, traffic control
18Hiroki Arimura, Takeaki Uno An efficient polynomial space and polynomial delay algorithm for enumeration of maximal motifs in a sequence. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Maximal motif, Data mining, Algorithm, Delay, Polynomial time, Pattern discovery, Enumeration, Motif, Sequence mining, Closed pattern, Closed itemset
18Cong Liu 0001, Jie Wu 0001 Scalable routing in delay tolerant networks. Search on Bibsonomy MobiHoc The full citation details ... 2007 DBLP  DOI  BibTeX  RDF motion cycle, simulation, scalability, delay tolerant networks (DTNs), contact, hierarchical routing, delivery
18Kazuyoshi Murata, Megumi Nakamura, Yu Shibuya, Itaru Kuramoto, Yoshihiro Tsujino Visual Feedback to Reduce the Negative Effects of Message Transfer Delay on Voice Chatting. Search on Bibsonomy HCI (9) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Message Transfer Delay, Unintentional Interruption, Status Monitor, Voice Chatting
18N. Pete Sedcole, Peter Y. K. Cheung Parametric yield in FPGAs due to within-die delay variations: a quantitative analysis. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF statistical theory, within-die variability, modelling, FPGA, delay, reconfiguration, process variation, yield
18Jun-Zhao Sun Query optimization based on user-specified delay item for wireless sensor networks. Search on Bibsonomy IWCMC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF sensor networks, delay, query optimization
18Hamidou Tembine, Eitan Altman, Rachid El Azouzi Asymmetric delay in evolutionary games. Search on Bibsonomy VALUETOOLS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hawk and dove game, multiple access game, delay differential equation, replicator dynamics, evolutionary stable strategy
18Paolo Bernardi, Michelangelo Grosso, Matteo Sonza Reorda Hardware-accelerated path-delay fault grading of functional test programs for processor-based systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault-emulation, software-based testing, FPGA, path-delay
18Daniel A. Vivanco, Anura P. Jayasumana A Measurement-Based Modeling Approach for Network-Induced Packet Delay. Search on Bibsonomy LCN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Inter-packet gaps, Delay, Internet Measurments
18Stephen Farrell, Vinny Cahill, Dermot Geraghty, Ivor Humphreys, Paul McDonald When TCP Breaks: Delay- and Disruption- Tolerant Networking. Search on Bibsonomy IEEE Internet Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF TCP, delay-tolerant networking, disruption-tolerant networking
18Mihaela van der Schaar, Yiannis Andreopoulos, Zhiping Hu Optimized Scalable Video Streaming over IEEE 802.11a/e HCCA Wireless Networks under Delay Constraints. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IEEE 802.11e WLANs, delay-constrained video streaming, QoS-enabled MAC scheduling, token rate adaptation, cross-layer optimization, link adaptation
18José Niño-Mora Marginal productivity index policies for scheduling a multiclass delay-/loss-sensitive queue. Search on Bibsonomy Queueing Syst. Theory Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Multiclass queue, Multi-queue switch, Delay-sensitive, Loss-sensitive, Restless bandits, Work-cost analysis, Index policies, Bias optimality, Scheduling, Conservation laws, Finite buffers
18Zhizhong Zhang, Fang Cheng, Jiangtao Luo, Qijian Mao, Jun Wang, Shaofeng Qiu Preemptive and non-preemptive scheduling of optical switches with configuration delay. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF configuration delay, scheduling, optical switches
18Bipul C. Paul, Kaushik Roy 0001 Impact of Body Bias on Delay Fault Testing of Sub-100 nm CMOS Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive body bias design, statistical analysis, process variation, delay fault testing
18Hafizur Rahaman 0001, Debesh K. Das, Bhargab B. Bhattacharya Implementing Symmetric Functions with Hierarchical Modules for Stuck-At and Path-Delay Fault Testability. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF universal tests, stuck-at fault, path-delay fault, synthesis-for-testability, unate function, symmetric boolean function
18Yassine Boujelben, André Girard, Jean-Charles Grégoire A Sequential Algorithm for Constructing Delay-Constrained Multirings for Multipoint-to-Multipoint Communications. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Multipoint-to-multipoint, Stability, Delay bounds, Multiring, Lagrangean relaxation
18József Sziray Test Calculation for Logic and Delay Faults in Digital Circuits. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Test-pattern calculation, logic faults, CMOS transistor structures, functional testing, delay faults, multi-valued logic
18Olivier Dousse, Christina Tavoularis, Patrick Thiran Delay of intrusion detection in wireless sensor networks. Search on Bibsonomy MobiHoc The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sensor networks, intrusion detection, delay, percolation
18Xunqi Yu, James W. Modestino, Dian Fan Evaluation of the residual packet-loss rate using packet-level FEC for delay-constrained media network transport. Search on Bibsonomy MobiMedia The full citation details ... 2006 DBLP  DOI  BibTeX  RDF delay-constrained media, packet-level FEC, residual packet-loss rate, autoregressive model
18Shao Liu, Tamer Basar, R. Srikant 0001 TCP-Illinois: a loss and delay-based congestion control algorithm for high-speed networks. Search on Bibsonomy VALUETOOLS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF NewReno, stability, congestion control, TCP, fairness, throughput, packet loss, AIMD, SACK, queueing delay, Reno
18Feng Shi 0010, Yiorgos Makris Testing delay faults in asynchronous handshake circuits. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF test generation, asynchronous circuits, delay faults, handshake circuits
18Dianlin Du, Chongguang Wu, Xionglin Luo, Xin Zuo Delay Time Identification and Dynamic Characteristics Study on ANN Soft Sensor. Search on Bibsonomy ISDA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF artificial neural network, dynamic system, delay time, soft sensor
18Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Dynamic thermal clock skew compensation using tunable delay buffers. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF temperature aware design methodology, tunable delay buffers, clock skew, clock tree
18Adit D. Singh, Gefu Xu Output Hazard-Free Transition Tests for Silicon Calibrated Scan Based Delay Testing. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Hazard-Free, Test, Delay, Transition
18Levent Aksoy, Eduardo A. C. da Costa, Paulo F. Flores, José Monteiro 0001 Optimization of area under a delay constraint in digital filter synthesis using SAT-based integer linear programming. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multiple constant multiplication, multiplierless digital filter design, delay optimization, area optimization
18Joonhwan Yi, John P. Hayes The Coupling Model for Function and Delay Faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test generation, fault modeling, delay faults, functional faults
18Wei Zheng 0009, Sheng Zhang 0004, Yi Ouyang 0001, Fillia Makedon, James Ford Node clustering based on link delay in P2P networks. Search on Bibsonomy SAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF link delay, node clustering, peer-to-peer, hierarchical clustering
18Laura Sánchez-Miquel, Natalia Vesselinova-Vassileva, Francisco Barceló 0001, Patricia Carbajo-Flores Energy and delay-constrained routing in mobile ad hoc networks: an initial approach. Search on Bibsonomy PE-WASUN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ad hoc networks, energy efficiency, delay, routing protocols
18Eduardo Parente Ribeiro, Victor C. M. Leung Asymmetric path delay optimization in mobile multi-homed SCTP multimedia transport. Search on Bibsonomy WMuNeP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF asymmetric path, multimedia, delay, mobile network, SCTP, multi-homing
18Vasilis F. Pavlidis, Eby G. Friedman Interconnect delay minimization through interlayer via placement in 3-D ICs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RC interconnects, elmore delay, 3-D ICs
18Haihua Yan, Gefu Xu, Adit D. Singh Low Voltage Test in Place of Fast Clock in DDSI Delay Test. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF delay test, defect, ATE, low voltage test
18Paal Engelstad, Olav N. Østerbø Delay and Throughput Analysis of IEEE 802.11e EDCA with Starvation Prediction. Search on Bibsonomy LCN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Z-transform of the Delay, Virtual Collision, Performance Analysis, EDCA, Starvation, Non-Saturation, AIFS
18Nick G. Duffield, Francesco Lo Presti Network tomography from measured end-to-end delay covariance. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multicast, statistical inference, packet delay, topology discovery, end-to-end measurement
18Ahmed M. Mahdy, Jitender S. Deogun, Shashank K. Mehta End-to-End Delay Heuristics for Adaptive Optical Wireless Networks. Search on Bibsonomy MASCOTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Optical Wireless Networks, Link Flexibility, NP-Hardness, Spanning Trees, Transmission Delay
18Ho Fai Ko, Nicola Nicolici Functional Scan Chain Design at RTL for Skewed-Load Delay Fault Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF High-level DFT, Delay-fault testing
18Samuli Aalto, Urtzi Ayesta, Eeva Nyberg-Oksanen Two-level processor-sharing scheduling disciplines: mean delay analysis. Search on Bibsonomy SIGMETRICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FB, MLPS, unfinished truncated work, scheduling, M/G/1, LAS, PS, mean delay
18Robert S. Allison, James E. Zacher, David W. L. Wang, Joseph Shu Effects of network delay on a collaborative motor task with telehaptic and televisual feedback. Search on Bibsonomy VRCAI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF virtual environments, haptics, delay, collaborative virtual environments, teleoperation
18Ramyanshu Datta, Antony Sebastine, Ashwin Raghunathan, Jacob A. Abraham On-chip delay measurement for silicon debug. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF design for testability, delay fault testing, silicon debug
18Yarallah Koolivand, Ali Zahabi, Nasser Masoumi Modeling of polysilicide gate resistance effect on inverter delay and power consumption using distributed RC method and branching technique. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF polysilicide gate resistance, short circuit power, performance degradation, propagation delay
18S.-H. Gary Chan, Fouad A. Tobagi Modeling and Dimensioning Hierarchical Storage Systems for Low-Delay Video Services. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Hierarchical storage systems, tertiary and secondary levels, staging and replacement policies, user delay goal, distributed storage systems, video services
18Miron Abramovici, Charles E. Stroud BIST-Based Delay-Fault Testing in FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Field Programmable Gate Arrays, Built-In Self-Test, delay faults
18Chao-Yang Yeh, Malgorzata Marek-Sadowska Delay budgeting in sequential circuit with application on FPGA placement. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF delay budgeting, FPGA, placement, sequential circuits
18Koen Engelborghs, Tatyana Luzyanina, Dirk Roose Numerical bifurcation analysis of delay differential equations using DDE-BIFTOOL. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF numerical stability and bifurcation analysis, Continuation, software package, delay differential equations
18Chor Ping Low, Xueyan Song On Finding Feasible Solutions for the Delay Constrained Group Multicast Routing Problem. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Delay constrained group multicast routing, feasible solutions, NP-completeness, heuristic algorithm
18Lauren Hui Chen, Malgorzata Marek-Sadowska Efficient Closed-Form Crosstalk Delay Metrics. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect coupling, coupling direction, delay, crosstalk
18Hiroshi Saito, Alex Kondratyev, Takashi Nanya Design of Asynchronous Controllers with Delay Insensitive Interface. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF delay insensitive interface, gate-level transformation, behavioral transformation, asynchronous circuits, hazards
18Boris S. Mordukhovich, Ruth Trubnik Stability of Discrete Approximations and Necessary Optimality Conditions for Delay-Differential Inclusions. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF delay-differential inclusions, finite-difference perturbations, generalized differentiation, stability, dynamic optimization, variational analysis, necessary optimality conditions
18Ramesh C. Tekumalla, Premachandran R. Menon On Redundant Path Delay Faults in Synchronous Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF functional sensitizability, sequential circuits, testability, Path delay faults, redundant faults
18Jacob Savir Distributed BIST Architecture to Combat Delay Faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF BIST, LFSR, delay test, MISR, LSSD, SRL
18Andrzej Krasniewski Self-Testing of FPGA Delay Faults in the System Environment. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA, BIST, random testing, delay faults
18Satoshi Fujita, Tadanori Nakagawa Lower Bounding Techniques for the Multiprocessor Scheduling Problem with Communication Delay. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF inevitable communication delay, lower bound, Multiprocessor scheduling problem
18Jacob Savir Design for Testability to Combat Delay Faults. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST, LFSR, Delay Test, MISR, LSSD, SRL
18Jean Michel Daga, E. Ottaviano, Daniel Auvergne Temperature Effect on Delay for Low Voltage Applications. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF temperature effect, derating, Low power, delay, Low voltage
18Yoshio Kameda, Stanislav Polonsky, Masaaki Maezawa, Takashi Nanya Primitive-Level Pipelining Method on Delay-Insensitive Model for RSFQ Pulse-Driven Logic. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF pulse-driven logic, Josephson junction device, RSFQ device, pipeline, asynchronous circuit, delay-insensitive circuit
18Debesh K. Das, Indrajit Chaudhuri, Bhargab B. Bhattacharya Design of an Optimal Test Pattern Generator for Built-in Self Testing of Path Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Built-in self-test, TPG, delay faults, robust testing, two-pattern tests
18Ada Wai-Chee Fu Delay-Optimal Quorum Consensus for Distributed Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF replicated database systems, distributed systems, network topology, Mutual exclusion, wide-area networks, communication delay, coteries, quorum consensus
18Wangning Long, Shiyuan Yang, Zhongcheng Li, Yinghua Min Memory Efficient ATPG for Path Delay Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Delay Testing, Automatic Test Generation, IC Testing, Path Sensitization
18S. Crepaux-Motte, Mireille Jacomino, Rene David An algebraic method for delay fault testing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF input values, output function, robustly testable fault, nonrobustly testable fault, weakly verifiable, fault diagnosis, logic testing, delays, timing, Markov processes, random testing, delay fault testing, state transition, input vectors, algebraic method
18Imtiaz P. Shaik, Michael L. Bushnell Circuit design for low overhead delay-fault BIST using constrained quadratic 0-1 programming . Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF low overhead delay-fault BIST, constrained quadratic 0-1 programming, built-in self testing model, weighted signed graph balancing problem, VLSI, logic testing, delays, built-in self test, integrated circuit testing, logic design, automatic testing, integrated circuit design, quadratic programming, circuit design, digital integrated circuits, hazards and race conditions
18Imtiaz P. Shaik, Michael L. Bushnell A graph approach to DFT hardware placement for robust delay fault BIST. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF graph heuristic, DFT hardware placement, robust delay fault BIST, ULSI circuit, built-in self-testing model, design for testability hardware, hazard free structure, graph theory, logic testing, delays, built-in self test, integrated circuit testing, design for testability, fault location, digital integrated circuits, ULSI
18Soumitra Bose, Prathima Agrawal, Vishwani D. Agrawal The optimistic update theorem for path delay testing in sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF test generation, Fault simulation, timing analysis, path delay faults
17Haixia Wu, Wei Zhang, Wei Feng 0012, Jun Peng Delay-dependent stability for static recurrent neural networks via a piecewise delay approach. Search on Bibsonomy IEEE ICCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 49999 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license