The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase flip-flops (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1976 (18) 1977-1988 (16) 1989-1993 (17) 1994-1995 (49) 1996 (24) 1997 (21) 1998 (42) 1999 (28) 2000 (24) 2001 (25) 2002 (24) 2003 (35) 2004 (38) 2005 (44) 2006 (44) 2007 (53) 2008 (58) 2009 (27) 2010 (25) 2011 (23) 2012 (19) 2013 (21) 2014 (16) 2015 (20) 2016-2017 (31) 2018-2019 (28) 2020-2021 (26) 2022-2023 (20) 2024 (7)
Publication types (Num. hits)
article(279) data(1) inproceedings(542) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 825 occurrences of 369 keywords

Results
Found 823 publication records. Showing 823 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Sandeep Kumar Goel, Bart Vermeulen Data Invalidation Analysis for Scan-Based Debug on Multiple-Clock System Chips. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scan-based debug, Design-for-Debug (DfD), clock gating, silicon debug, multiple-clock domains
17Xingjun Wu, Hongyi Chen, Yihe Sun, Weixin Gai A Fully-Pipeline Linear Systolic Architecture for Modular Multiplier in Public-Key Crypto-Systems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF public-key crypto-system, systolic array, modular-multiplication, pipeline architecture, modular-exponentiation
17Robert B. Reese, Mitchell A. Thornton, Cherrice Traver A Fine-Grain Phased Logic CPU. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Fatih Kocan Reconfigurable randomized K-way graph partitioning. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Danil Sokolov, Alexandre V. Bystrov, Alexandre Yakovlev STG Optimisation in the Direct Mapping of Asynchronous Circuits . Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Fatih Kocan Reconfigurable Randomized K-way Graph Partitioning. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Robert B. Reese, Mitchell A. Thornton, Cherrice Traver A Coarse-Grain Phased Logic CPU. Search on Bibsonomy ASYNC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Yaron Semiat, Ran Ginosar Timing Measurements of Synchronization Circuits. Search on Bibsonomy ASYNC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Kohei Miyase, Seiji Kajihara Optimal Scan Tree Construction with Test Vector Modification for Test Compression. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Dong Xiang, Ming-Jing Chen, Jia-Guang Sun, Hideo Fujiwara Improving Test Quality of Scan-Based BIST by Scan Chain Partitioning. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Soonhak Kwon A Low Complexity and a Low Latency Bit Parallel Systolic Multiplier over GF(2m) Using an Optimal Normal Basis of Type II. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Nataraj Akkiraju, Mosur Mohan Spec Based Flip-Flop And Buffer Insertion. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Ching-Hwa Cheng Design Scan Test Strategy for Single Phase Dynamic Circuits. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Chien-In Henry Chen, Kiran George Automated Synthesis of Configurable Two-dimensional Linear Feedback Shifter Registers for Random/Embedded Test Patterns. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Bhargab B. Bhattacharya, Sharad C. Seth, Sheng Zhang 0008 Double-Tree Scan: A Novel Low-Power Scan-Path Architecture. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Chao-Yang Yeh, Malgorzata Marek-Sadowska Delay budgeting in sequential circuit with application on FPGA placement. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF delay budgeting, FPGA, placement, sequential circuits
17Oscal T.-C. Chen, Robin R.-B. Sheen, S. Wang A low-power adder operating on effective dynamic data ranges. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Yih-Chih Chou, Youn-Long Lin Effective enforcement of path-delay constraints inperformance-driven placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Paul Amblard, Fabienne Lagnier, Michel Lévy Using Formal Tools to Study Complex Circuits Behaviour. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Shi-Yu Huang Diagnosis Of Byzantine Open-Segment Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Chunhong Chen Physical design with multiple on-chip voltages. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Pasquale Cocchini Concurrent flip-flop and repeater insertion for high performance integrated circuits. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Ilia Polian, Piet Engelke, Bernd Becker 0001 Efficient Bridging Fault Simulation of Sequential Circuits Based on Multi-Valued Logics. Search on Bibsonomy ISMVL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Voting models, Fault simulation, Bridging faults
17Nikola Nedovic, Marko Aleksic, Vojin G. Oklobdzija Comparative analysis of double-edge versus single-edge triggered clocked storage elements. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Anzhela Yu. Matrosova, Valentina Andreeva, Yu. Sedov Survivable Discrete Circuits Design. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Ozgur Sinanoglu, Alex Orailoglu Fast and Energy-Frugal Deterministic Test Through Test Vector Correlation Exploitation. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Martin Saint-Laurent, Vojin G. Oklobdzija, Simon S. Singh, Madhavan Swaminathan Optimal Sequencing Energy Allocation for CMOS Integrated Systems. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Energy Allocation, Clocked Storage Elements, Clock Distribution
17Sandeep Kumar Goel, Bart Vermeulen Hierarchical Data Invalidation Analysis for Scan-Based Debug on Multiple-Clock System Chips. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Abdel Ejnioui, N. Ranganathan A partitioning algorithm for technoiogy-mapped designs on single-chip emulation systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Sumit Ghosh P2EDAS: Asynchronous, Distributed Event Driven Simulation Algorithm with Inconsistent Event Preemption for Accurate Execution of VHDL Descriptions on Parallel Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF simulation of hardware descriptions, inertial delays, descheduling, anticipatory scheduling, preemption of inconsistent events, parallel processing, VLSI, distributed algorithms, discrete event simulation, VHDL, Digital simulation, logic simulation, event driven simulation, timing semantics
17François R. Boyer, El Mostapha Aboulhamid, Yvon Savaria, Michel Boyer Optimal design of synchronous circuits using software pipelining techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF software pipelining, retiming, Resynthesis
17Mariusz Rawski, Rafal Rzechowski, Zbigniew Jachna, Ireneusz Brzozowski Practical Aspects of Logic Synthesis Based on Functional Decomposition. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Toshinori Hosokawa, Masayoshi Yoshimura, Mitsuyasu Ohta Design for testability strategies using full/partial scan designs and test point insertions to reduce test application times. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Yih-Chih Chou, Youn-Long Lin A performance-driven standard-cell placer based on a modified force-directed algorithm. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF placement, timing closure, force-directed
17Subhasish Mitra, Edward J. McCluskey Design of Redundant Systems Protected Against Common-Mode Failures. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Ranganathan Sankaralingam, Nur A. Touba, Bahram Pouya Reducing Power Dissipation during Test Using Scan Chain Disable. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Egor S. Sogomonyan, Andrej A. Morosov, Jan Rzeha, Michael Gössel, Adit D. Singh Early Error Detection in Systems-on-Chip for Fault-Tolerance and At-Speed Debugging. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Yong Chang Kim, Kewal K. Saluja, Vishwani D. Agrawal Combinational Test Generation for Acyclic SequentialCircuits using a Balanced ATPG Model. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Palash Sarkar 0001, Subhamoy Maitra Efficient Implementation of "Large" Stream Cipher Systems. Search on Bibsonomy CHES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Boolean functions, Stream Ciphers, Cellular Automata, Linear Feedback Shift Registers, Reconfigurable Hardware, Pipelined Architecture
17Uming Ko, Poras T. Balsara High-performance energy-efficient D-flip-flop circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Krishnendu Chakrabarty, Brian T. Murray, Vikram Iyengar Deterministic built-in test pattern generation for high-performance circuits using twisted-ring counters. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Tapan J. Chakraborty, Vishwani D. Agrawal, Michael L. Bushnell Improving path delay testability of sequential circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Tong Liu 0007, Wei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi Testing and testable designs for one-time programmable FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Claude Arm, Jean-Marc Masgonty, Christian Piguet Double-Latch Clocking Scheme for Low-Power I.P. Cores. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Elias Ahmed, Jonathan Rose The effect of LUT and cluster size on deep-submicron FPGA performance and density. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Abdel Ejnioui, N. Ranganathan Design Partitioning on Single-Chip Emulation Systems. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA partitioning, integer programming, FPGA architecture, schedule optimization
17David L. Harris, Mark Horowitz, Dean Liu Timing analysis including clock skew. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Yuejian Wu, Saman Adham Scan-based BIST fault diagnosis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Jason Cong, Chang Wu Optimal FPGA mapping and retiming with efficient initial state computation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Srimat T. Chakradhar, Sujit Dey Resynthesis and retiming for optimum partial scan. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Vikram Iyengar, Krishnendu Chakrabarty, Brian T. Murray Deterministic Built-in Pattern Generation for Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Comma coding, pattern decoding, statistical encoding, BIST, Huffman coding, run-length encoding, embedded-core testing, sequential circuit testing
17Egor S. Sogomonyan, Adit D. Singh, Michael Gössel A Multi-Mode Scannable Memory Element for High Test Application Efficiency and Delay Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF design-for-testability, BIST, scan design
17Zbigniew Kalbarczyk, Ravishankar K. Iyer, Gregory L. Ries, Jaqdish U. Patel, Myeong S. Lee, Yuxiao Xiao Hierarchical Simulation Approach to Accurate Fault Modeling for System Dependability Evaluation. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Hierarchical simulation, accurate fault modeling, dependability evaluation, fault dictionaries
17Fulvio Corno, Matteo Sonza Reorda, Giovanni Squillero Approximate Equivalence Verification of Sequential Circuits via Genetic Algorithms. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Gerardo Orlando, Christof Paar A Super-Serial Galois Fields Multiplier for FPGAs and its Application to Public-Key Algorithms. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Galois Fields multiplier, field programmable gate array application, cryptography, elliptic curve cryptography
17Jerzy W. Greblicki, Stanislaw J. Piestrak Design of Totally Self-Checking Code-Disjoint Synchronous Sequential Circuits. Search on Bibsonomy EDCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Ondrej Novák Pseudorandom, Weighted Random and Pseudoexhaustive Test Patterns Generated in Universal Cellular Automata. Search on Bibsonomy EDCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF linear cyclic codes, hardware test pattern generators, weighted random testing, Cellular automata, BIST, linear feedback shift registers, pseudoexhaustive testing
17Krishnendu Chakrabarty, Brian T. Murray, Vikram Iyengar Built-in Test Pattern Generation For High-Performance Cir cuits Using Twisted-Ring Counters. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Albrecht P. Stroele, Steffen Tarnick Programmable Embedded Self-Testing Checkers for All-Unidirectional Error-Detecting Code. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Robert C. Aitken Extending the Pseudo-Stuck-At Fault Model to Provide Complete IDDQ Coverage. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Nithya Raghavan, Venkatesh Akella, Smita Bakshi Automatic Insertion of Gated Clocks at Register Transfer Level. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Ludovic Jacomme, Frédéric Pétrot, Rajesh K. Bawa Formal Analysis of Single WAIT VHDL processes for Semantic Based Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Wei-Kang Huang, Fred J. Meyer, Xiao-Tao Chen, Fabrizio Lombardi Testing configurable LUT-based FPGA's. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Naresh Maheshwari, Sachin S. Sapatnekar Efficient retiming of large circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Dave Johnson 0003, Venkatesh Akella, Bret Stott Micropipelined asynchronous discrete cosine transform (DCT/IDCT) processor. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Lan Zhao, D. M. H. Walker, Fabrizio Lombardi IDDQ Testing of Bridging Faults in Logic Resources of Reconfigurable Field Programmable Gate Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Peichen Pan, Arvind K. Karandikar, C. L. Liu 0001 Optimal clock period clustering for sequential circuits with retiming. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Sujit Dey, Vijay Gangaram, Miodrag Potkonjak A controller redesign technique to enhance testability of controller-data path circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Chih-Chang Lin, Malgorzata Marek-Sadowska, Kwang-Ting Cheng, Mike Tien-Chien Lee Test-point insertion: scan paths through functional logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel Application of genetically engineered finite-state-machine sequences to sequential circuit ATPG. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Lakshminarayana Pappu, Michael L. Bushnell, Vishwani D. Agrawal, Mandyam-Komar Srinivas Statistical Delay Fault Coverage Estimation for Synchronous Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF statistical fault analysis, fault simulation, delay test, path-delay faults, transition faults
17Peichen Pan, C. L. Liu 0001 Optimal clock period FPGA technology mapping for sequential circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF FPGAs, field-programmable gate arrays, retiming, technology mapping, look-up tables, logic replication, clock period, sequential synthesis
17Vaughn Betz, Jonathan Rose How Much Logic Should Go in an FPGA Logic Block? Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Douglas Chang, Kwang-Ting Cheng, Malgorzata Marek-Sadowska, Mike Tien-Chien Lee Functional Scan Chain Testing. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF functional scan chain, alternating sequence, scan chain testing, design for testability, test point insertion
17Kent L. Einspahr, Shashank K. Mehta, Sharad C. Seth Synthesis of Sequential Circuits with Clock Control to Improve Testability. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Tien-Toan Do, Holger Kropp, Carsten Reuter, Peter Pirsch A Flexible Implementation of High-Performance FIR Filters on Xilinx FPGAs. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Ludovic Jacomme, Frédéric Pétrot, Rajesh K. Bawa Formal Extraction of Memorizing Elements for Sequential VHDL Synthesis. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Priyank Kalla, Maciej J. Ciesielski A comprehensive approach to the partial scan problem using implicit state enumeration. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Joep Aerts, Erik Jan Marinissen Scan chain design for test time reduction in core-based ICs. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Xijiang Lin, Irith Pomeranz, Sudhakar M. Reddy MIX: A Test Generation System for Synchronous Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF deterministic test generation genetic optimization based test generation restricted multiple observation time approach state based test generation synchronous sequential circuits
17Sujit Dey, Miodrag Potkonjak Nonscan design-for-testability techniques using RT-level design information. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Peter A. Walker, Sumit Ghosh On the nature and inadequacies of transport timing delay constructs in VHDL descriptions. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Hong-Yean Hsieh, Wentai Liu, Paul D. Franzon, Ralph K. Cavin III Clocking Optimization and Distribution in Digital Systems with Scheduled Skews. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Yoshinobu Higami, Kozo Kinoshita Design of partially parallel scan chain. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Andre Hertwig, Hans-Joachim Wunderlich Fast controllers for data dominated applications. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Prasoon Surti, Liang-Fang Chao, Akhilesh Tyagi Low power FSM design using Huffman-style encoding. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Irith Pomeranz, Sudhakar M. Reddy Built-in test generation for synchronous sequential circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF comparison units, built-in self-test, synchronous sequential circuits, at-speed test
17Naresh Maheshwari, Sachin S. Sapatnekar Minimum area retiming with equivalent initial states. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF VLSI, Sequential Circuits, Retiming, Design Automation, Timing Optimization, Area Optimization
17Itsuo Takanami, Tadayoshi Horita Self-reconstruction of mesh-arrays with 1 1/2 -track switches by digital neural circuits. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF self-reconstruction, digital neural circuits, Hopfield-type neural algorith, 1 1/2 -track switches, compensation paths, subcircuits, stable state, parallel state transitions, VLSI, mesh-connected processor arrays
17Huy Nguyen 0001, Abhijit Chatterjee, Rabindra K. Roy Impact of Partial Reset on Fault Independent Testing and BIST. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Charles R. Graham, Elizabeth M. Rudnick, Janak H. Patel Dynamic Fault Grouping for PROOFS: A Win for Large Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Madhavi Vootukuru, Ranga Vemuri, Nand Kumar Resource Constrained RTL Partitioning for Synthesis of Multi-FPGA Designs. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17William K. C. Lam, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Valid clock frequencies and their computation in wavepipelined circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Kanji Hirabayashi Hazard simulation of sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF hazard checking, seven-valued logic, logic simulation
17Xinghao Chen 0003, Michael L. Bushnell Sequential circuit test generation using dynamic justification equivalence. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF search decision spaces, test generation efficiency, automatic test pattern generation, stuck-at faults, justification
17Kwang-Ting Cheng, A. S. Krishnakumar Automatic generation of functional vectors using the extended finite state machine model. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF functional testing, automatic test generation, design verification, extended finite state machines
17Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda Circular Self-Test Path for FSMs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen On Verifying the Correctness of Retimed Circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF 3-valued equivalence, delay-compensation, sequential ATPG, formal verification, retiming, equivalence-Checking
17Kent L. Einspahr, Sharad C. Seth, Vishwani D. Agrawal Improving Circuit Testability by Clock Control. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17C. P. Ravikumar, Rajamani Rajarajan Genetic Algorithms for Scan Path Design. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 823 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license