The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for leakage with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1990 (17) 1991-1994 (16) 1995-1996 (21) 1997-1998 (29) 1999 (27) 2000 (36) 2001 (66) 2002 (123) 2003 (147) 2004 (230) 2005 (320) 2006 (402) 2007 (355) 2008 (381) 2009 (316) 2010 (232) 2011 (217) 2012 (235) 2013 (276) 2014 (304) 2015 (300) 2016 (307) 2017 (321) 2018 (373) 2019 (464) 2020 (414) 2021 (449) 2022 (482) 2023 (481) 2024 (131)
Publication types (Num. hits)
article(3299) book(3) incollection(19) inproceedings(4099) phdthesis(52)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2239 occurrences of 940 keywords

Results
Found 7472 publication records. Showing 7472 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Yan Lin 0001, Fei Li 0003, Lei He 0001 Routing track duplication with fine-grained power-gating for FPGA interconnect power reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Yi-Ting Chiang, Da-Wei Wang 0004, Churn-Jung Liau, Tsan-sheng Hsu Secrecy of Two-Party Secure Computation. Search on Bibsonomy DBSec The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Privacy Analysis, Scalar Product, Private Computation
18Jason Cong, Ashok Jagannathan, Glenn Reinman, Yuval Tamir Understanding the energy efficiency of SMT and CMP with multiclustering. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF energy efficiency, simultaneous multithreading, chip multiprocessing
18Johnsy K. John, Jie S. Hu, Sotirios G. Ziavras Optimizing the Thermal Behavior of Subarrayed Data Caches. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Kiyotaka Imai, Yasushi Yamagata, Sadaaki Masuoka, Naohiko Kimuzuka, Yuri Yasuda, Mitsuhiro Togo, Masahiro Ikeda, Yasutaka Nakashiba Device technology for body biasing scheme. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Aditya Bansal, Kaushik Roy 0001 Asymmetric halo CMOSFET to reduce static power dissipation with improved performance. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Ozcan Ozturk 0001, Mahmut T. Kandemir Energy management in software-controlled multi-level memory hierarchies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF software-managed memory, embedded systems
18Rahul M. Rao, Kanak Agarwal, Anirudh Devgan, Kevin J. Nowka, Dennis Sylvester, Richard B. Brown Parametric Yield Analysis and Constrained-Based Supply Voltage Optimization. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Puneet Gupta 0001, Andrew B. Kahng, Puneet Sharma A Practical Transistor-Level Dual Threshold Voltage Assignment Methodology. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Swarup Bhunia, Hamid Mahmoodi-Meimand, Debjyoti Ghosh, Kaushik Roy 0001 Power Reduction in Test-Per-Scan BIST with Supply Gating and Efficient Scan Partitioning. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Ruchir Puri, Leon Stok, Subhrajit Bhattacharya Keeping hot chips cool. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, high-performance, VLSI design
18Hua Zhang 0002, Geoffrey Ye Li Clustered OFDM with adaptive antenna arrays for interference suppression. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Rouwaida Kanj, Elyse Rosenbaum Critical evaluation of SOI design guidelines. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Philo Juang, Kevin Skadron, Margaret Martonosi, Zhigang Hu, Douglas W. Clark, Phil Diodato, Stefanos Kaxiras Implementing branch-predictor decay using quasi-static memory cells. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Energy aware computing
18Hartmut Klauck Quantum and Approximate Privacy. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Yuan-Pei Lin, See-May Phoong DFT based transceivers with-windowing. Search on Bibsonomy ISCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Jason Helge Anderson, Farid N. Najm Low-power programmable routing circuitry for FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Lin Li 0002, Vijay Degalahal, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Soft error and energy consumption interactions: a data cache perspective. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF energy-efficiency, soft error, data cache
18Joohee Kim, Marios C. Papaefthymiou Block-based multiperiod dynamic memory design for low data-retention power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Ali Keshavarzi, Kaushik Roy 0001, Charles F. Hawkins, Vivek De Multiple-parameter CMOS IC testing with increased sensitivity for IDDQ. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Heather Hanson, M. S. Hrishikesh, Vikas Agarwal, Stephen W. Keckler, Doug Burger Static energy reduction techniques for microprocessor caches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18S. Cservany, Jean-Marc Masgonty, Christian Piguet Stand-by Power Reduction for Storage Circuits. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Wei Zhang 0002, Mustafa Karaköy, Mahmut T. Kandemir, Guangyu Chen A compiler approach for reducing data cache energy. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF data caches, energy optimization, compiler analysis
18Dakshi Agrawal, Josyula R. Rao, Pankaj Rohatgi Multi-channel Attacks. Search on Bibsonomy CHES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF EM Analysis, Side-channel attacks, DPA, Power Analysis, DEMA
18R. M. Nussbaumer, D. G. Rüegg, L. M. Studer, J.-P. Gabriel Computer simulation of the motoneuron pool-muscle complex. I. Input system and motoneuron pool. Search on Bibsonomy Biol. Cybern. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Swarup Bhunia, Hai Li, Kaushik Roy 0001 A High Performance IDDQ Testable Cache for Scaled CMOS Technologies. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Mahesh Ketkar, Sachin S. Sapatnekar Standby power optimization via transistor sizing and dual threshold voltage assignment. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Lipeng Cao Circuit power estimation using pattern recognition techniques. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Hyun-Jeong Kim, Dong Hoon Lee 0001, Moti Yung Privacy against Piracy: Protecting Two-Level Revocable P-K Traitor Tracing. Search on Bibsonomy ACISP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Hartmut Klauck On Quantum and Approximate Privacy. Search on Bibsonomy STACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Mun Wai Ng, Yuen-Hui Chee, Yong Ping Xu, Gamani Karunasiri On-chip compensation of dark current in infrared focal plane arrays. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Sreejit Chakravarty, Sujit T. Zachariah STBM: a fast algorithm to simulate IDDQ tests forleakage faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Vivek De, Shekhar Borkar Low power and high performance design challenges in future technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power design, memory, microprocessor, VLSI design
18Shigeru Ohnishi, Michinori Nishihara A New Light-Based Logic IC Screening Method. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Michael S. Shur, Tor A. Fjeldly, Trond Ytterdal Transistor Modeling for the VDSM Era. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF field effect transistors, parameter extraction, SPICE, device modeling
18Amit Sinha, Anantha P. Chandrakasan Energy Aware Software. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Qi Wang, Sarma B. K. Vrudhula An Investigation of Power Delay Tradeoffs for Dual Vt CMOS Circuits. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF low power, CMOS circuits, dual Vt
18Kaushik Roy 0001, Liqiong Wei, Zhanping Chen Multiple-Vdd multiple-Vth CMOS (MVCMOS) for low power applications. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18M. Sivabalan, Stafford E. Tavares, Lloyd E. Peppard On the Design of SP Networks From an Information Theoretic Point of View. Search on Bibsonomy CRYPTO The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Garima Thakral, Saraju P. Mohanty, Dhruva Ghai, Dhiraj K. Pradhan A DOE-ILP assisted conjugate-gradient based power and stability optimization in High-K Nano-CMOS SRAM. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF nano-CMOS, power, leakage, SRAM, static noise margin
18Sara Porat, Boaz Carmeli, Tamar Domany, Tal Drory, Ksenya Kveler, Alex Melament, Haim Nelken Masking Gateway for Enterprises. Search on Bibsonomy Languages: From Formal to Natural The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Data Leakage Prevention (DLP), de-identification, Service Oriented Architecture (SOA), anonymization, Optical Character Recognition (OCR), data masking
18David Bol, Dina Kamel, Denis Flandre, Jean-Didier Legat Nanometer MOSFET effects on the minimum-energy point of 45nm subthreshold logic. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF short-channel effects, subthreshold logic, variability, cmos digital integrated circuits, ultra-low power, gate leakage
18Xin Huang, Kenli Li 0001, Renfa Li A Energy Efficient Scheduling Base on Dynamic Voltage and Frequency Scaling for Multi-core Embedded Real-Time System. Search on Bibsonomy ICA3PP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF real-time, energy-efficiency, embedded, DVS, multi-core, leakage power, EDF
18Werner Schindler, Colin D. Walter Optimal Recovery of Secret Keys from Weak Side Channel Traces. Search on Bibsonomy IMACC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power analysis, Side channel leakage, optimal strategy
18Yasuhiro Fujii, Ryu Ebisawa, Satoshi Kai, Takaaki Yamada, Yoshinori Honda High-accuracy text search of hardcopy logs. Search on Bibsonomy iiWAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF MFP, OCR, information leakage, text search, paper document
18Cesare Ferri, Sherief Reda, R. Iris Bahar Parametric yield management for 3D ICs: Models and strategies for improvement. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance, process variations, leakage, 3D integration, yield management
18Kasper Bonne Rasmussen, Srdjan Capkun Location privacy of distance bounding protocols. Search on Bibsonomy CCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wireless security, information leakage, distance bounding
18Go Ohtake, Goichiro Hanaoka, Kazuto Ogawa An Efficient Strong Key-Insulated Signature Scheme and Its Application. Search on Bibsonomy EuroPKI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF strong key-insulated signature, key leakage, DL assumption, random oracle model, adaptive security
18Bahman Kheradmand Boroujeni, Christian Piguet, Yusuf Leblebici Reverse Vgs Static CMOS (RVGS-SCMOS); A New Technique for Dynamically Compensating the Process Variations in Sub-threshold Designs. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Sub-VToperation, variation compensation, logic style, active-mode leakage, process variations
18Biswajit Mishra, Bashir M. Al-Hashimi Subthreshold FIR Filter Architecture for Ultra Low Power Applications. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Subthreshold design, Minimum Energy Point, Ultra Low Power Design, Leakage, FIR
18Kazuki Yoneyama Efficient and Strongly Secure Password-Based Server Aided Key Exchange (Extended Abstract). Search on Bibsonomy INDOCRYPT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF password-based key exchange, password-based server aided key exchange, leakage of internal states, undetectable on-line dictionary attack
18Paulo F. Butzen, Leomar S. da Rosa Jr., Erasmo J. D. Chiappetta Filho, Dionatan S. Moura, André Inácio Reis, Renato P. Ribas Simple and accurate method for fast static currentestimation in cmos complex gates with interaction ofleakage mechanisms. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF leakage estimation, logic design, cmos gates
18Huifang Qin, Animesh Kumar, Kannan Ramchandran, Jan M. Rabaey, Prakash Ishwar Error-Tolerant SRAM Design for Ultra-Low Power Standby Operation. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF DRV, low power, ECC, leakage, SRAM, variation, low voltage, error tolerant
18Po-Kuan Huang, Soheil Ghiasi Efficient and scalable compiler-directed energy optimization for realtime applications. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF energy-aware compiler, Leakage, technology scaling
18Swarup Bhunia, Massood Tabib-Azar, Daniel G. Saab Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reconfigurable instant-on system, ultralow-power reconfigurable computing, complementary nanoelectromechanical carbon nanotube switches, coplanar carbon nanotubes, low operation voltages, built-in energy storage, CNEMS, stable on-off state, latching mechanism, nonvolatile memory-mode operation, CMOS transistors, system development, leakage current
18Aveek Sarkar, Shen Lin, Kai Wang A methodology for analysis and verification of power gated circuits with correlated results. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RedHawk, standby leakage current, design, verification, analysis, power gate, MTCMOS
18Koji Kida, Hisashi Sakamoto, Hideo Shimazu, Hiroyuki Tarumi InfoCage: A Development and Evaluation of Confidential File Lifetime Monitoring Technology by Analyzing Events from File Systems and GUIs. Search on Bibsonomy IWSEC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Secure Office, Information Leakage Countemesure, Operation Monitoring, Knowledge Base, Log Analysis
18Chanseok Hwang, Peng Rong, Massoud Pedram Sleep transistor distribution in row-based MTCMOS designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage minimization, placement, MTCMOS
18Andrea Calimera, Antonio Pullini, Ashoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Design of a family of sleep transistor cells for a clustered power-gating flow in 65nm technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF layout, leakage power, insertion, standard-cell, sleep transistor
18Zhiyu Liu, Volkan Kursun Charge Recycling Between Virtual Power and Ground Lines for Low Energy MTCMOS. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multi-threshold voltage CMOS, gated power, gated ground, sleep switch, subthreshold leakage, charge recycling
18T. M. Mak, Sani R. Nassif Guest Editors' Introduction: Process Variation and Stochastic Design and Test. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF silicon manufacturing processes, adaptive circuits, process variation, process monitoring, subthreshold leakage
18Lan Gao, Jun Yang 0002, Marek Chrobak, Youtao Zhang, San Nguyen, Hsien-Hsin S. Lee A low-cost memory remapping scheme for address bus protection. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF address bus leakage protection, secure processor
18Ali Bastani, Charles A. Zukowski Monotonic static CMOS tradeoffs in sub-100nm technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate leakage current, monotonic static CMOS logic, low power design, noise tolerance, static power
18De-Shiuan Chiou, Shih-Hsin Chen, Shih-Chieh Chang, Chingwei Yeh Timing driven power gating. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF leakage current, power gating, IR drop
18Mathieu Ciet, Marc Joye Elliptic Curve Cryptosystems in the Presence of Permanent and Transient Faults. Search on Bibsonomy Des. Codes Cryptogr. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF elliptic curve cryptography, fault attacks, fault analysis, information leakage
18Wei Huang 0004, Eric Humenay, Kevin Skadron, Mircea R. Stan The need for a full-chip and package thermal model for thermally optimized IC designs. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF leakage, package, thermal model, temperature-aware design
18Walid Elgharbawy, Pradeep Golconda, Magdy A. Bayoumi Noise-tolerant high fan-in dynamic CMOS circuit design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF high fan-in domino, CMOS, noise-tolerant, subthreshold leakage, dynamic circuits
18John Wei, Chris Rowen Implementing low-power configurable processors: practical options and tradeoffs. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF PVT (process, voltage, temperature), configurable embedded processor, dynamic power efficiency, scaled VDD, low-power, leakage power, SOC (system on chip), dynamic power
18Ravindra Jejurikar, Rajesh K. Gupta 0001 Procrastination scheduling in fixed priority real-time systems. Search on Bibsonomy LCTES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF critical speed, procrastication scheduling, real-time systems, leakage power, fixed priority, low power scheduling
18Maurice Meijer, Francesco Pessolano, José Pineda de Gyvez Technology exploration for adaptive power and frequency scaling in 90nm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF adaptive body bias, low power, CMOS, performance optimization, leakage, adaptive voltage scaling
18Bhaskar Chatterjee, Manoj Sachdev, Ram Krishnamurthy 0001 A CPL-based dual supply 32-bit ALU for sub 180nm CMOS technologies. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF DSM leakage control and scaling trends, dual supply ALU design, low power techniques
18Toshinari Takayanagi, Jinuk Luke Shin, Bruce Petrick, Jeffrey Y. Su, Ana Sonia Leon A dual-core 64b ultraSPARC microprocessor for dense server applications. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF L2, UltraSPARC, coupling noise, deep submicron technology, dense server, dual-core, throughput computing, cache, multiprocessor, leakage, NBTI, negative bias temperature instability
18Wei Zhang 0002, Mahmut T. Kandemir, Anand Sivasubramaniam, Mary Jane Irwin Performance, energy, and reliability tradeoffs in replicating hot cache lines. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF cache reliability, line replication, cache memories, leakage power
18Kwang-Il Oh, Lee-Sup Kim A clock delayed sleep mode domino logic for wide dynamic OR gate. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF clock delay, low power, leakage, sleep mode, dynamic circuits
18Werner Schindler, Colin D. Walter More Detail for a Combined Timing and Power Attack against Implementations of RSA. Search on Bibsonomy IMACC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF statistical decision problem, power analysis, exponentiation, timing attack, RSA cryptosystem, side channel leakage, Montgomery modular multiplication
18Ryo Fujioka, Kiyokazu Katayama, Ryotaro Kobayashi, Hideki Ando, Toshio Shimada A preactivating mechanism for a VT-CMOS cache using address prediction. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF L1 data cache, leakage current, address prediction
18Michael Zhang, Krste Asanovic Fine-grain CAM-tag cache resizing using miss tags. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cache resizing, low-power, energy efficiency, leakage current, content-addressable-memory
18Kimiyoshi Usami, Naoyuki Kawabe, Masayuki Koizumi, Katsuhiro Seta, Toshiyuki Furusawa Automated selective multi-threshold design for ultra-low standby applications. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF standby leakage current, automated design, multi-threshold
18Fei Li 0003, Lei He 0001, Kewal K. Saluja Estimation of Maximum Power-Up Current. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF current estimation, ATPG algorithm, leakage reduction
18George Sery, Shekhar Borkar, Vivek De Life is CMOS: why chase the life after? Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF leakage control, microarchitecture, technology scaling
18Karine Gandolfi, Christophe Mourtel, Francis Olivier Electromagnetic Analysis: Concrete Results. Search on Bibsonomy CHES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF smart cards, DPA, SPA, DEMA, side channel leakage, SEMA, electromagnetic analysis
18Claude Thibeault A novel probabilistic approach for IC diagnosis based on differential quiescent current signatures. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF IC diagnosis, probabilistic differential quiescent current signature, noise source, embedded logic, robustness, maximum likelihood estimation, maximum likelihood estimation, IDDQ testing, subthreshold leakage current
18Adam L. Young, Moti Yung The Prevalence of Kleptographic Attacks on Discrete-Log Based Cryptosystems. Search on Bibsonomy CRYPTO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF DSA signature, Menezes-Vanstone PKCS, Schnorr signature algorithm, protocol abuse, leakage-bandwidth, cryptographic system implementations, randomness, pseudorandomness, Diffie-Hellman, subliminal channels, kleptography, setup, ElGamal encryption, ElGamal signature, Discrete-Log
18Hisashi Kondo, Kwang-Ting Cheng An Efficient Compact Test Generator for IDDQ Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Selective IDDQ, Pattern Compaction, Pseudo Stuck-at Fault, Essential Fault, Test, ATPG, Fault Model, Testability, IDDQ, Leakage Fault
18Weiwei Mao, Ravi K. Gulati Quietest: A methodology for selecting IDDQ test vectors. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF weak faults, I DDQ testing, Leakage faults
15Jung Yeon Hwang, Ji Young Chun, Dong Hoon Lee 0001 A note on leakage-resilient authenticated key exchange. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Panagiotis Papadimitriou 0002, Hector Garcia-Molina A Model for Data Leakage Detection. Search on Bibsonomy ICDE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Krzysztof Pietrzak A Leakage-Resilient Mode of Operation. Search on Bibsonomy EUROCRYPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Sheng-Chih Lin, Kaustav Banerjee A Design-Specific and Thermally-Aware Methodology for Trading-Off Power and Performance in Leakage-Dominant CMOS Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Ja Chun Ku, Yehea I. Ismail Area Optimization for Leakage Reduction and Thermal Stability in Nanometer-Scale Technologies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Scott Rose, Anastase Nakassis Minimizing information leakage in the DNS. Search on Bibsonomy IEEE Netw. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Marco Bucci, Raimondo Luzzi, Santos Torres Vargas A Low Leakage Non-Volatile Memory Voltage Pulse Generator for RFID Applications. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Imad M. Abbadi, Muntaha Alawneh Preventing Insider Information Leakage for Enterprises. Search on Bibsonomy SECURWARE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Enrico Macii, Letícia Maria Veiras Bolzani, Andrea Calimera, Alberto Macii, Massimo Poncino Integrating Clock Gating and Power Gating for Combined Dynamic and Leakage Power Optimization in Digital CMOS Circuits. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Muntaha Alawneh, Imad M. Abbadi Preventing information leakage between collaborating organisations. Search on Bibsonomy ICEC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF collaborating organisations, enterprise rights management, share but protect
15Jungseob Lee, Lin Xie, Azadeh Davoodi A Dual-Vt low leakage SRAM array robust to process variations. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Ashoka Visweswara Sathanur, Andrea Calimera, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino On quantifying the figures of merit of power-gating for leakage power minimization in nanometer CMOS circuits. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Meikang Qiu, Jiande Wu, Jingtong Hu, Yi He 0001, Edwin Hsing-Mean Sha Dynamic and Leakage Power Minimization with Loop Voltage Scheduling and Assignment. Search on Bibsonomy EUC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Zhiyu Liu, Sherif A. Tawfik, Volkan Kursun Statistical Data Stability and Leakage Evaluation of FinFET SRAM Cells with Dynamic Threshold Voltage Tuning under Process Parameter Fluctuations. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF static noise margin distribution, robust operation, active power, standby power distribution, double gate MOSFET, process variations, Cache memory
15Mirette Sadek, Alireza Tarighat, Ali H. Sayed A Leakage-Based Precoding Scheme for Downlink Multi-User MIMO Channels. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 7472 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license