The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for faults with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1972 (16) 1973-1974 (15) 1975-1976 (17) 1977-1978 (20) 1979-1980 (31) 1981-1982 (24) 1983 (17) 1984 (16) 1985 (31) 1986 (43) 1987 (27) 1988 (63) 1989 (72) 1990 (99) 1991 (105) 1992 (122) 1993 (147) 1994 (156) 1995 (232) 1996 (251) 1997 (233) 1998 (231) 1999 (308) 2000 (368) 2001 (310) 2002 (434) 2003 (488) 2004 (575) 2005 (646) 2006 (726) 2007 (732) 2008 (660) 2009 (452) 2010 (232) 2011 (178) 2012 (181) 2013 (220) 2014 (226) 2015 (230) 2016 (263) 2017 (309) 2018 (293) 2019 (363) 2020 (363) 2021 (428) 2022 (401) 2023 (422) 2024 (117)
Publication types (Num. hits)
article(4437) book(1) data(5) incollection(32) inproceedings(7361) phdthesis(56) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 10002 occurrences of 3019 keywords

Results
Found 11893 publication records. Showing 11893 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Miron Abramovici, Prem R. Menon, David T. Miller Checkpoint Faults are not Sufficient Target Faults for Test Generation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
18Bev Littlewood Stochastic reliability growth: A model with applications to computer software faults and hardware design faults. Search on Bibsonomy Measurement and evaluation of software quality The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
17Irith Pomeranz, Sudhakar M. Reddy State persistence: a property for guiding test generation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF broadside tests, test generation, transition faults, scan-based tests
17Elaine J. Weyuker, Thomas J. Ostrand, Robert M. Bell Do too many cooks spoil the broth? Using the number of developers to enhance defect prediction models. Search on Bibsonomy Empir. Softw. Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Negative binomial model, Developer counts, Empirical study, Software faults
17Irene Finocchi, Giuseppe F. Italiano Sorting and Searching in Faulty Memories. Search on Bibsonomy Algorithmica The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Memory faults, Computing with unreliable information, Searching, Sorting, Memory models, Combinatorial algorithms
17Daniele Rossi 0001, Martin Omaña 0001, Cecilia Metra Checkers' No-Harm Alarms and Design Approaches to Tolerate Them. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Error detecting codes, Transient faults, Self-checking circuits, Checker
17Christian Gout, Carole Le Guyader, Lucia Romani, A.-G. Saint-Guirons Approximation of surfaces with fault(s) and/or rapidly varying data, using a segmentation process, D m -splines and the finite element method. Search on Bibsonomy Numer. Algorithms The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Surfaces with faults, Image segmentation, Finite element methods, Splines
17Sriraman Tallam, Chen Tian 0002, Rajiv Gupta 0001, Xiangyu Zhang 0001 Avoiding Program Failures Through Safe Execution Perturbations. Search on Bibsonomy COMPSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF avoiding failures, environmental faults, logging/replay tools, bad user requests, heap overflow, atomicity violation
17Mohammad Gh. Mohammad, Laila Terkawi Techniques for Disturb Fault Collapsing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF algorithm, test, flash memory, faults, disturb
17Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian Analysis and Test of Resistive-Open Defects in SRAM Pre-Charge Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Resistive-open defects, Pre-charge circuits, Memory testing, Dynamic faults
17Fatih Kocan, Daniel G. Saab Dynamic Fault Diagnosis of Combinational and Sequential Circuits on Reconfigurable Hardware. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Dynamic fault diagnosis, FPGA, Emulation, Stuck-at faults, Circuits, Gate-level
17Thomas J. Ostrand, Elaine J. Weyuker, Robert M. Bell Automating algorithms for the identification of fault-prone files. Search on Bibsonomy ISSTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF software testing, prediction, empirical study, software faults, regression model, fault-prone
17Zemo Yang, Samiha Mourad Crosstalk Induced Fault Analysis and Test in DRAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF crosstalk, DRAMs, pattern sensitive faults
17Benjy J. Thomasson, Mark Ratcliffe 0001, Lynda Thomas Identifying novice difficulties in object oriented design. Search on Bibsonomy ITiCSE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design faults, design, software design, introductory programming
17Hakan Özdemir, Güngör Baser Computer Simulation of Woven Fabric Defects Based on Faulty Yarn Photographs. Search on Bibsonomy ISCIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Fabric simulation, fabric appearance, woven fabric faults, elastica curve, yarn flattening
17Robert M. Bell, Thomas J. Ostrand, Elaine J. Weyuker Looking for bugs in all the right places. Search on Bibsonomy ISSTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF software testing, prediction, empirical study, software faults, regression model, fault-prone
17Alain Girault, Hamoudi Kalla, Yves Sorel Transient Processor/Bus Fault Tolerance for Embedded Systems. Search on Bibsonomy DIPES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hybrid redundancy, safety-critical systems, transient faults, real-time embedded systems, scheduling heuristics, heterogeneous architectures, data fragmentation
17James A. Muir Seifert's RSA Fault Attack: Simplified Analysis and Generalizations. Search on Bibsonomy ICICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF signature verification, fault analysis, RSA signatures, hardware faults
17Ian Broster, Alan Burns 0001, Guillermo Rodríguez-Navas Timing Analysis of Real-Time Communication Under Electromagnetic Interference. Search on Bibsonomy Real Time Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF TTCAN, probabilistic timing analysis, electromagnetic interference, bus guardian, babbling idiot, dependability, faults, Controller Area Network, CAN
17Richard W. Selby Enabling Reuse-Based Software Development of Large-Scale Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF empirical study, software metrics, Software reuse, experimentation, software measurement, large-scale systems, mining software repositories, software faults, software changes
17Wenyi Feng, Fred J. Meyer, Fabrizio Lombardi Adaptive Algorithms for Maximal Diagnosis of Wiring Interconnects. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnect, multiple faults, adaptive diagnosis, Wiring network
17Bhargab B. Bhattacharya, Alexej Dmitriev, Michael Gössel Zero-Aliasing Space Compaction of Test Responses Using a Single Periodic Output. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Space compaction, testing, stuck-at faults, system-on-a-chip
17Amy Streich, Alex Kondratyev, Lief Sorensen Testing of Asynchronous Designs by "Inappropriate" Means: Synchronous Approach. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ATPG, asynchronous circuits, stuck-at faults, partial scan
17Ilia Polian, Piet Engelke, Bernd Becker 0001 Efficient Bridging Fault Simulation of Sequential Circuits Based on Multi-Valued Logics. Search on Bibsonomy ISMVL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Voting models, Fault simulation, Bridging faults
17Erica Y. Yang, Jie Xu 0007, Keith H. Bennett A Fault-Tolerant Approach to Secure Information Retrieval. Search on Bibsonomy SRDS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF malicious faults, security, fault tolerance, secret sharing, private information retrieval, Distributed database systems
17Kim Potter Kihlstrom, Louise E. Moser, P. M. Melliar-Smith The SecureRing group communication system. Search on Bibsonomy ACM Trans. Inf. Syst. Secur. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF group communication, survivability, intrusion, Byzantine faults, partial synchrony, state machine replication
17Mandeep Singh, Israel Koren Reliability Enhancement of Analog-to-Digital Converters (ADCs). Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Fault sensitivity, Alpha particle, Fault tolerance, Reliability, Transient faults, Analog-to-Digital Converters
17Irith Pomeranz, Sudhakar M. Reddy On the Use of Fully Specified Initial States for Testing of Synchronous Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF irredundant faults, built-in test generation, test generation, synchronous sequential circuits, Initial states
17Claude Thibeault Diagnosis Method Using DeltaIDDQ Probabilistic Signatures: Theory and Results. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF probabilistic signatures, diagnosis, bridging faults, Delta IDDQ
17Marly Roncken, Ken S. Stevens, Rajesh Pendurkar, Shai Rotem, Parimal Pal Chaudhuri CA-BIST for Asynchronous Circuits: A Case Study on the RAPPID Asynchronous Instruction Length Decoder. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF pulse logic, switch-level fault simulation, Cellular Automata, BIST, asynchronous circuits, testability, stuck-at faults, domino logic, self-timed circuits, dynamic circuits
17Michael J. Liebelt, Cheng-Chew Lim A method for determining whether asynchronous circuits are self-checking. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power electronics, TSC, low noise properties, semi-modular asynchronous circuit, output stuck-at-faults, low power, fault diagnosis, logic testing, integrated circuit testing, design for testability, asynchronous circuits, testability, totally self-checking, integrated circuit noise
17Yin-Chao Huang, Chung-Len Lee 0001, Jun-Weir Lin, Jwu E. Chen, Chauchin Su A methodology for fault model development for hierarchical linear systems. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF closed loop systems, hierarchical linear systems, transfer function model, open-loop, element faults, benchmark state-variable filter, AC fault model, state variable filter, fault diagnosis, fault model, fault simulation, modules, Monte Carlo methods, Monte Carlo simulation, transfer functions, computation time, operational amplifiers, operational amplifiers, closed loop, analogue circuits
17Wei-Je Huang, Edward J. McCluskey Transient errors and rollback recovery in LZ compression. Search on Bibsonomy PRDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF LZ compression, Lempel-Ziv compression, compressed codewords, rollback error recovery schemes, data integrity, data integrity, fault tolerant computing, data compression, error detection, system recovery, transient faults, rollback recovery, compression ratio, lossless data compression, transient errors, data reconstruction, hardware redundancy
17Wenyi Feng, Fred J. Meyer, Fabrizio Lombardi Two-Step Algorithms for Maximal Diagnosis of Wiring Interconnects. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Interconnect, Multiple Faults, Adaptive Diagnosis, Wiring Network
17Chanyutt Arjhan, Raghvendra G. Deshmukh A Novel Fault-Detection Technique for The Parallel Multipliers and Dividers. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF parallel divider, parallel-array divider, pf-model, summand-generator, summand-counter, multiple faults functional testing, design for testability, boundary scan, array multiplier, Parallel multiplier
17Daniel Gil, Juan Carlos Baraza, J. V. Busquets, Pedro J. Gil Fault Injection into VHDL Models: Analysis of the Error Syndrome of a Microcomputer System. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VHDL simulation, Error syndrome, Propagation latency, Fault injection, Transient faults, Experimental validation
17Shalini Yajnik, Niraj K. Jha Graceful Degradation in Algorithm-Based Fault Tolerant Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF concurrent fault location, fault diagnosis, concurrent error detection, transient faults, graceful degradation, Algorithm-based fault tolerance
17Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Alexander Saldanha, Alexander Taubin Partial scan delay fault testing of asynchronous circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF robust path delay fault testing, asynchronous circuits, delay faults, sequential testing
17Fausto Distante, Mariagiovanna Sami, Renato Stefanelli Array partitioning to achieve defect tolerance. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF array partitioning, processing arrays, run time faults, reconfiguration techniques, architectural regularity, interconnection channel width, reconfiguration efficiency, partitioning approach, critical fault patterns, fault tolerance, redundancy, reconfigurable architectures, survival, defect tolerance, path length
17Yiming Gong, Sreejit Chakravarty Using fault sampling to compute IDDQ diagnostic test set. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fault sampling, IDDQ diagnostic test set generation, combinational circuits, combinational circuit, bridging faults
17Yinan N. Shen, Xiao-Tao Chen, Susumu Horiguchi, Fabrizio Lombardi On the multiple fault diagnosis of multistage interconnection networks: the lower bound and the CMOS fault model. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF CMOS fault model, multiple fault diagnosis, interconnection networks, fault diagnosis, lower bound, multistage interconnection networks, multistage interconnection networks, CMOS technology, stuck-open faults
17Chen-Yang Pan, Kwang-Ting Cheng, Sandeep Gupta 0001 Fault macromodeling and a testing strategy for opamps. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF catastrophic fault model, operational amplifier design for testability, analog test, macromodeling, parametric faults
17Abdessatar Abderrahman, Bozena Kaminska, Eduard Cerny Optimization-based multifrequency test generation for analog circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multifrequency test generation, tolerance effects, fault observability maximization, parametric faults
17Robert D. Blumofe, Matteo Frigo, Christopher F. Joerg, Charles E. Leiserson, Keith H. Randall Dag-Consistent Distributed Shared Memory. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF dag consistency, cactus stack, multithreading, distributed shared memory, dynamic scheduling, memory model, page faults
17Katsuya Tanaka, Makoto Takizawa 0001 Distributed checkpointing based on influential messages. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF influential messages, massage passing, object faults, protocols, protocols, distributed processing, message passing, remote procedure calls, remote procedure call, consistent global state, distributed checkpointing
17Sudhakar M. Reddy, Irith Pomeranz, Seiji Kajihara On the effects of test compaction on defect coverage. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF surrogate faults, fault diagnosis, test generation, integrated circuit testing, fault modeling, test sets, test compaction, defect coverage
17Kent L. Einspahr, Sharad C. Seth A switch-level test generation system for synchronous and asynchronous circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF reverse time processing, stuck-open and stuck-at faults, time-frame expansion, sequential circuits, Automatic test generation
17Beyin Chen, Chung-Len Lee 0001 Universal test set generation for CMOS circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF functional testing, automatic test generation, CMOS circuits, stuck-open faults, universal test set
17Michel Renovell, P. Huc, Yves Bertrand The concept of resistance interval: a new parametric model for realistic resistive bridging fault. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF electric resistance, resistance interval, intrinsic resistance, logic behavior, 0 to 500 ohm, VLSI, VLSI, fault diagnosis, logic testing, integrated circuit testing, fault detection, automatic testing, fault coverage, bridging faults, parametric model, logic gates, logic gates, resistive bridging fault, faulty behavior
17Anand Raghunathan, Pranav Ashar, Sharad Malik Test generation for cyclic combinational circuits. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cyclic combinational circuits, bus structures, single-stuck-at fault test pattern, test generation problem, program RAM, fault diagnosis, logic testing, integrated circuit testing, network topology, combinational circuits, automatic testing, fault coverage, test pattern generators, formal analysis, data paths, testing algorithm, combinational logic circuits, untestable faults
17Yung-Yuan Chen, Ching-Hwa Cheng, Jwu-E Chen An efficient switching network fault diagnosis for reconfigurable VLSI/WSI array processors. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF switching network fault diagnosis, reconfigurable VLSI/WSI array processors, switching network defects, killing error, testing circuit overhead, diagnosis time, mesh array, VLSI, parallel architectures, fault diagnosis, reconfigurable architectures, multiple faults, switching networks, wafer-scale integration, testing quality
17Koji Tsuda, Shuji Senda, Michihiko Minoh, Katsuo Ikeda Clustering OCR-ed texts for browsing document image database. Search on Bibsonomy ICDAR The full citation details ... 1995 DBLP  DOI  BibTeX  RDF OCR text clustering, document image database browsing, cluster extraction method, ordinal clustering methods, Complete Link, term loss, recognition faults, feature extraction, human factors, optical character recognition, interactive systems, document image processing, user interaction, document clustering, visual databases, word processing
17Charles E. Stroud, Ahmed E. Barbour Testability and test generation for majority voting fault-tolerant circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF majority voting circuits, fault-tolerance, Design for testability, test pattern generation, multiple stuck-at faults
17Jean-Claude Laprie, Karama Kanoun X-Ware Reliability and Availability Modeling. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF classical reliability theory, software viewpoints, X-Ware, performance evaluation, fault tolerant computing, software reliability, faults, software models, reliability theory, availability modeling
17Tsong Yueh Chen, Man Fai Lau, Kwan Yong Sim, Chang-Ai Sun On detecting faults for Boolean expressions. Search on Bibsonomy Softw. Qual. J. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF MUMCUT strategy, Software testing, Fault detection, Specification based testing, Black-box testing, Fault based testing, Boolean specification
17Yomara Pires, Jefferson Morais, Claudomir Cardoso, Aldebaro Klautau Data Mining Applied to the Electric Power Industry: Classification of Short-Circuit Faults in Transmission Lines. Search on Bibsonomy Innovative Applications in Data Mining The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Piotr Jantos, Damian Grzechca, Jerzy Rutkowski Global parametric faults identification with the use of Differential Evolution. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Natasa Miskov-Zivanov, Diana Marculescu A systematic approach to modeling and analysis of transient faults in logic circuits. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Hiroyuki Yotsuyanagi, Masaki Hashizume, Toshiyuki Tsutsumi, Koji Yamazaki, Takashi Aikyo, Yoshinobu Higami, Hiroshi Takahashi, Yuzo Takamatsu Fault Effect of Open Faults Considering Adjacent Signal Lines in a 90 nm IC. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Ying-Yen Chen, Jing-Jia Liou Diagnosis Framework for Locating Failed Segments of Path Delay Faults. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hsing-Chung Liang, Pao-Hsin Huang, Yan-Fei Tang Testing Transition Delay Faults in Modified Booth Multipliers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Munkang Choi, Linda S. Milor Diagnosis of Optical Lithography Faults With Product Test Sets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Hongyu Zhang 0002 On the Distribution of Software Faults. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Measurement applied to SQA and V&V, Product metrics
17Bogdan F. Romanescu, Daniel J. Sorin Core cannibalization architecture: improving lifetime chip performance for multicore processors in the presence of hard faults. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF lifetime performance, fault tolerance, reliability, multicore
17José Fonseca 0002, Marco Vieira Mapping software faults with web security vulnerabilities. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Aswin Sreedhar, Alodeep Sanyal, Sandip Kundu On Modeling and Testing of Lithography Related Open Faults in Nano-CMOS Circuits. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Irith Pomeranz, Sudhakar M. Reddy A Bridging Fault Model Where Undetectable Faults Imply Logic Redundancy. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Borzoo Bonakdarpour, Sandeep S. Kulkarni Masking Faults While Providing Bounded-Time Phased Recovery. Search on Bibsonomy FM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bounded-time recovery, Phased recovery, Fault-tolerance, Real-time, Formal methods, Synthesis, Transformation
17Jane-Ferng Chiu, Wei-Hua Hao Mutual-Aid: Diskless Checkpointing Scheme for Tolerating Double Faults. Search on Bibsonomy HPCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Gabriella Carrozza, Domenico Cotroneo, Stefano Russo 0001 Software Faults Diagnosis in Complex OTS Based Safety Critical Systems. Search on Bibsonomy EDCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Fan Yang 0060, Sreejit Chakravarty, Narendra Devta-Prasanna, Sudhakar M. Reddy, Irith Pomeranz Detection of Transistor Stuck-Open Faults in Asynchronous Inputs of Scan Cells. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Gurgen Harutunyan, Valery A. Vardanian, Yervant Zorian An Efficient March-Based Three-Phase Fault Location and Full Diagnosis Algorithm for Realistic Two-Operation Dynamic Faults in Random Access Memories. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF localization, diagnosis, detection, fault, march test
17Colin Cooper, Ralf Klasing, Tomasz Radzik Locating and Repairing Faults in a Network with Mobile Agents. Search on Bibsonomy SIROCCO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Distributed computing, Mobile agents, Graph exploration
17Guillermo Rodríguez-Navas, Julián Proenza Analytical Assessment of the Precision Degradation Caused by Faults in a Fault-Tolerant Master/Slave Clock Synchronization Service for CAN. Search on Bibsonomy SRDS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Emmanuel Touloupis, James A. Flint, Vassilios A. Chouliaras, David D. Ward Study of the Effects of SEU-Induced Faults on a Pipeline Protected Microprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault modeling and simulation, fault tolerance, fault injection, soft error, SEU, microprocessor test
17Philip M. Wells, Koushik Chakraborty, Gurindar S. Sohi Adapting to Intermittent Faults in Future Multicore Systems. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Stephan Eggersglüß, Görschwin Fey, Rolf Drechsler, Andreas Glowatz, Friedrich Hapke, Jürgen Schlöffel Combining Multi-Valued Logics in SAT-based ATPG for Path Delay Faults. Search on Bibsonomy MEMOCODE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jean-Jacques Quisquater The Power of Cryptographic Attacks: Is Your Network Really Secure Against Side Channels Attacks and Malicious Faults? Search on Bibsonomy SSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Felix C. Freiling, Arshad Jhumka Global Predicate Detection in Distributed Systems with Small Faults. Search on Bibsonomy SSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Emin Germen, Dogan Gökhan Ece, Ömer Nezih Gerek Self Organizing Map (SOM) Approach for Classification of Mechanical Faults in Induction Motors. Search on Bibsonomy IWANN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Zsolt Kira Modeling cross-sensory and sensorimotor correlations to detect and localize faults in mobile robots. Search on Bibsonomy IROS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Manuel Cheminod, Ivan Cibrario Bertolotti, Luca Durante, Riccardo Sisto, Adriano Valenzano Evaluating the Combined Effect of Vulnerabilities and Faults on Large Distributed Systems. Search on Bibsonomy DepCoS-RELCOMEX The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Hao Yang 0001, Bin Jiang 0001, Vincent Cocquempot Fault Accommodation for Hybrid Systems with Continuous and Discrete Faults. Search on Bibsonomy HSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Young J. Won, Mi-Jung Choi, Jang Jin Lee, Jun Hyub Lee, Hwa Won Hwang, James Won-Ki Hong Detecting Network Faults on Industrial Process Control IP Networks. Search on Bibsonomy IPOM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Industrial Process Control Networks, IP Network Operation and Management, Traffic Monitoring, Fault Detection and Diagnosis
17Ben Vandiver, Hari Balakrishnan, Barbara Liskov, Samuel Madden 0001 Tolerating byzantine faults in transaction processing systems using commit barrier scheduling. Search on Bibsonomy SOSP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF databases, byzantine fault tolerance, state machine replication
17Veljko Krunic, Eric Trumpler, Richard Han 0001 NodeMD: diagnosing node-level faults in remote wireless sensor systems. Search on Bibsonomy MobiSys The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wireless sensor networks, diagnosis, deployment, software fault
17Talmai Brandão de Oliveira, Victor Franco Costa, Fabíola Greve On the Behavior of Broadcasting Protocols for MANETs Under Omission Faults Scenarios. Search on Bibsonomy LADC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Fault-Tolerant Wireless Communication, Mobile Ad-Hoc Networks, Broadcasting, Reliable Broadcasting
17Olivier Faurax, Assia Tria, Laurent Freund, Frédéric Bancel Robustness of circuits under delay-induced faults : test of AES with the PAFI tool. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Rajsekhar Adapa, Spyros Tragoudas, Maria K. Michael Accelerating Diagnosis via Dominance Relations between Sets of Faults. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Qiang Xu 0001, Yubin Zhang, Krishnendu Chakrabarty SOC Test Architecture Optimization for Signal Integrity Faults on Core-External Interconnects. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Yigal Bejerano, Rajeev Rastogi Robust monitoring of link delays and faults in IP networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF latency and fault monitoring, approximation algorithms, set cover problem, network failures
17Yannick Monnet, Marc Renaudin, Régis Leveugle Designing Resistant Circuits against Malicious Faults Injection Using Asynchronous Logic. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF quasi-delay insensitive, hardening techniques, Asynchronous circuits, data encryption standard, fault attacks
17Piet Engelke, Ilia Polian, Michel Renovell, Bernd Becker 0001 Simulating Resistive-Bridging and Stuck-At Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Pyoungwoo Min, Hyunbean Yi, Jaehoon Song, Sanghyeon Baeg, Sungju Park Efficient Interconnect Test Patterns for Crosstalk and Static Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Saravanan Padmanaban, Spyros Tragoudas Implicit grading of multiple path delay faults. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Fault simulation, decision diagrams, delay fault testing
17Taghi M. Khoshgoftaar, Naeem Seliya, Nandini Sundaresh An empirical study of predicting software faults with case-based reasoning. Search on Bibsonomy Softw. Qual. J. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Solution algorithm, Software quality, Software metrics, Case-based reasoning, Similarity functions, Software fault prediction
17Dong Tang, Peter Carruthers, Zuheir Totari, Michael W. Shapiro Assessment of the Effect of Memory Page Retirement on System RAS Against Hardware Faults. Search on Bibsonomy DSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Vishal Suthar, Shantanu Dutt Efficient on-line interconnect testing in FPGAs with provable detectability for multiple faults. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Martin Omaña 0001, José Manuel Cazeaux, Daniele Rossi 0001, Cecilia Metra Low-cost and highly reliable detector for transient and crosstalk faults affecting FPGA interconnects. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Shiva Shankar, Ottalingam Satyanarayanan An Automated System for Analyzing Impact of Faults in IP Telephony Networks. Search on Bibsonomy NOMS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 11893 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license