The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Electromigration with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1995 (17) 1996-2000 (15) 2001-2002 (26) 2003-2004 (33) 2005-2006 (30) 2007 (20) 2008-2009 (24) 2010-2011 (26) 2012-2013 (26) 2014 (20) 2015 (25) 2016 (18) 2017 (20) 2018 (28) 2019-2020 (27) 2021 (16) 2022 (17) 2023 (20)
Publication types (Num. hits)
article(168) book(1) inproceedings(237) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 100 occurrences of 61 keywords

Results
Found 408 publication records. Showing 408 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
125Cher Ming Tan, Kelvin Ngan Chong Yeo A Reliability Statistics Perspective on the Pitfalls of Standard Wafer-Level Electromigration Accelerated Test (SWEAT). Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF electromigration testing, accelerated stress testing, reliability statistics, wafer-level reliability, SWEAT
111Jens Lienig introduction to electromigration-aware physical design. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnect, layout, physical design, electromigration, current density, interconnect reliability
111Jens Lienig Interconnect and current density stress: an introduction to electromigration-aware design. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interconnect, layout, physical design, electromigration, current density, interconnect reliability
97Steffen Rochel, N. S. Nagaraj Full-Chip Signal Interconnect Analysis for Electromigration Reliability. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Full-chip Analysis, Deep Sub-micron design, Validation, Electromigration
90Jens Lienig, Göran Jerke Electromigration-Aware Physical Design of Integrated Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
82Cemal Basaran, Minghui Lin, Shidong Li Computational simulation of electromigration induced damage in copper interconnects. Search on Bibsonomy SCSC The full citation details ... 2007 DBLP  BibTeX  RDF copper interconnects, damage mechanics, electronics packaging reliability, thin film, electromigration
75David T. Blaauw, Chanhee Oh, Vladimir Zolotov, Aurobindo Dasgupta Static electromigration analysis for on-chip signal interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
68Iris Hui-Ru Jiang, Hua-Yu Chang, Chih-Long Chang Optimal wiring topology for electromigration avoidance considering multiple layers and obstacles. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF routing, linear programming, network flow, electromigration
68Rani S. Ghaida, Payman Zarkesh-Ha A Layout Sensitivity Model for Estimating Electromigration-vulnerable Narrow Interconnects. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Layout sensitivity, Narrow defects, Electromigration, Critical area, Yield prediction, Yield modeling, Spot defects
68Xiangdong Xuan, Adit D. Singh, Abhijit Chatterjee Lifetime Prediction and Design-for-Reliability of IC Interconnections with Electromigration Induced Degradation in the Presence of Manufacturing Defects. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IC reliability, reliability simulation, design for reliability, interconnect, electromigration, defect modeling
68Syed M. Alam, Donald E. Troxel, Carl V. Thompson Thermal aware cell-based full-chip electromigration reliability analysis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF IC thermal analysis, full-chip reliability, reliability aware design, reliability characterization, electromigration
68Goeran Jerke, Jens Lienig, Jürgen Scheible Reliability-driven layout decompaction for electromigration failure avoidance in complex mixed-signal IC designs. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF decompaction, layout decomposition, physical design, compaction, electromigration, interconnect reliability
68Jens Lienig, Goeran Jerke, Thorsten Adler Electromigration Avoidance in Analog Circuits: Two Methodologies for Current-Driven Routing. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF wire width, wire planning, current-driven routing, Design methodology, electromigration, detailed routing, current density, analog circuit design
64N. Venkateswaran 0002, S. Balaji, V. Sridhar Fault tolerant bus architecture for deep submicron based processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF deep submicron technology, fault tolerance, interconnect, electromigration
61Chanhee Oh, David T. Blaauw, Murat R. Becer, Vladimir Zolotov, Rajendran Panda, Aurobindo Dasgupta Static Electromigration Analysis for Signal Interconnects. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
61J. Joseph Clement, Stefan P. Riege, Radenko Cvijetic, Carl V. Thompson Methodology for electromigration critical threshold design rule evaluation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
61N. S. Nagaraj, Frank Cano, Haldun Haznedar, Duane Young A Practical Approach to Static Signal Electromigration Analysis. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
61Chin-Chi Teng, Yi-Kan Cheng, Elyse Rosenbaum, Sung-Mo Kang iTEM: a temperature-dependent electromigration reliability diagnosis tool. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
54Aida Todri, Malgorzata Marek-Sadowska Electromigration study of power-gated grids. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF electromigration, power network
54Aida Todri, Shih-Chieh Chang, Malgorzata Marek-Sadowska Electromigration and voltage drop aware power grid optimization for power gated ICs. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power supply grid, power gating, electromigration
54Xiangdong Xuan, Abhijit Chatterjee Sensitivity and Reliability Evaluation for Mixed-Signal ICs under Electromigration and Hot-Carrier Effects. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF hot-carrier, hierarchical analysis, hot-spot, performance degradation, Electromigration
54Gilbert Yoh, Farid N. Najm A Statistical Model for Electromigration Failures. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF lognormal distribution multilognormal distribution, electromigration, reliability prediction
54Zhan Chen, Fook-Luen Heng A Fast Minimum Layout Perturbation Algorithm for Electromigration Reliability Enhancement. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF layout compaction, design for reliability, electromigration
50Bipin Rajendran, Pawan Kapur, Krishna Saraswat, R. Fabian W. Pease Self-consistent power/performance/reliability analysis for copper interconnects. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Joule heating, copper interconnects, rent's rule, electromigration, duty cycle, current density
50Tianxu Zhao, Xuchao Duan, Yue Hao, Peijun Ma Reliability Estimation Model of ICs Interconnect Based on Uniform Distribution of Defects on a Chip. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF interconnection lifetime, electromigration effect, defect
47Syed M. Alam, Frank L. Wei, Chee Lip Gan, Carl V. Thompson, Donald E. Troxel Electromigration Reliability Comparison of Cu and Al Interconnects. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
47Goeran Jerke, Jens Lienig Hierarchical Current Density Verification for Electromigration Analysis in Arbitrary Shaped Metallization Patterns of Analog Circuits. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
43Aida Todri, Malgorzata Marek-Sadowska A study of reliability issues in clock distribution networks. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Lubomír Bañas, Robert Nürnberg Finite Element Approximation of a Three Dimensional Phase Field Model for Void Electromigration. Search on Bibsonomy J. Sci. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Void electromigration, Phase field model, Degenerate Cahn-Hilliard equation, Fourth order degenerate parabolic system, Finite elements, Multigrid methods, Convergence analysis, Surface diffusion
37D. Slottke, R. J. Kamaladasa, M. Harmes, Ilan Tsameret, Mauro J. Kobrinsky, Timothy McMullen, John Dunklee Wafer-level electromigration for reliability monitoring: Quick-turn electromigration stress with correlation to package-level stress. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
37Boukary Ouattara Prévision des effets de vieillissement par électromigration dans les circuits intégrés CMOS en nœuds technologiques submicroniques. (Forecasting the effects of aging by electromigration in the circuits integrated CMOS submicron technology nodes). Search on Bibsonomy 2014   RDF
35Eric Karl, David T. Blaauw, Dennis Sylvester, Trevor N. Mudge Reliability modeling and management in dynamic microprocessor-based systems. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic reliability management, oxide breakdown, thermal cycling, modeling, electromigration
35Mariagrazia Graziano, Marco Delaurenti, Guido Masera, Gianluca Piccinini, Maurizio Zamboni Noise Safety Design Methodologies. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Electromigration Safety, Deep-submicron Design Reliability, Noise, Noise Tolerance, Noise Models
35Thorsten Adler, Hiltrud Brocke, Lars Hedrich, Erich Barke A current driven routing and verification methodology for analog applications. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multiterminal signal nets, routing, verification, design methodology, Steiner tree, electromigration, current density
35Alexander Dalal, Lavi Lev, Sundari Mitra Design of an efficient power distribution network for the UltraSPARC-I microprocessor. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF computer power supplies, UltraSPARC-I, simulation method, exact layout locations, excessive voltage drop, floorplanning constraints, power interconnections, reduced time-to-market, circuit analysis computing, circuit layout CAD, microprocessor chips, electromigration, CAD tools, power distribution network
33Haldun Haznedar, Martin Gall, Vladimir Zolotov, Pon Sung Ku, Chanhee Oh, Rajendran Panda Impact of stress-induced backflow on full-chip electromigration risk assessment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Valeriy Sukharev Physically based simulation of electromigration-induced degradation mechanisms in dual-inlaid copper interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Valeriy Sukharev Physically-Based Simulation of Electromigration Induced Failures in Copper Dual-Damascene Interconnect. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Chanhee Oh, Haldun Haznedar, Martin Gall, Amir Grinshpon, Vladimir Zolotov, Pon Sung Ku, Rajendran Panda A Methodology for Chip-Level Electromigration Risk Assessment and Product Qualification. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Ilya Levin, Benjamin Abramov, Vladimir Ostrovsky Reduction of Fault Latency in Sequential Circuits by using Decomposition. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Göran Jerke, Jens Lienig Hierarchical current-density verification in arbitrarily shaped metallization patterns of analog circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Roman Barsky, Israel A. Wagner Reliability and Yield: A Joint Defect-Oriented Approach. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Aurobindo Dasgupta, Ramesh Karri High-reliability, low-energy microarchitecture synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Farid N. Najm, Richard Burch, Ping Yang 0001, Ibrahim N. Hajj Probabilistic simulation for reliability analysis of CMOS VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
21Thomas Pompl, Christian Schlünder, Martina Hommel, Heiko Nielen, Jens Schneider Practical aspects of reliability analysis for IC designs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ESD, TDDB of intermetal dielectric, design-in reliability, gate oxide integrity, hot carrier stress, stress-induced voiding, NBTI, electromigration
21Suresh Srinivasan, Prasanth Mangalagiri, Yuan Xie 0001, Narayanan Vijaykrishnan, Karthik Sarpatwari FLAW: FPGA lifetime awareness. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hot carrier effects, time dependent dielecric breakdown, FPGA, electromigration
21Zhijian Lu, John C. Lach, Mircea R. Stan, Kevin Skadron Improved Thermal Management with Reliability Banking. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Dynamic thermal/reliability management, Analytical and simulation techniques, Modeling, Performability, Electromigration
18Olympia Axelou, George Floros 0002, Nestor E. Evmorfopoulos, George I. Stamoulis Fast electromigration stress analysis using Low-Rank Balanced Truncation for general interconnect and power grid structures. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Liang Chen 0025, Wentian Jin, Mohammadamir Kavousi, Subed Lamichhane, Sheldon X.-D. Tan Linear Time Electromigration Analysis Based on Physics-Informed Sparse Regression. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Tianshu Hou, Peining Zhen, Zhigang Ji, Hai-Bao Chen A Deep Learning Framework for Solving Stress-based Partial Differential Equations in Electromigration Analysis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Stéphane Moreau, David Bouchu, J. Jourdon, Bassel Ayoub, S. Lhostis, Hélène Frémont, P. Lamontagne Recent Advances on Electromigration in Cu/SiO2 to Cu/SiO2 Hybrid Bonds for 3D Integrated Circuits. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Yong Hyeon Yi, Chris H. Kim, Chen Zhou, Armen Kteyan, Valeriy Sukharev Studying the Impact of Temperature Gradient on Electromigration Lifetime Using a Power Grid Test Structure with On-Chip Heaters. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18A. S. Saleh, Houman Zahedmanesh, Hajdin Ceric, Ingrid De Wolf, Kris Croes Impact of via geometry and line extension on via-electromigration in nano-interconnects. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Houman Zahedmanesh, Philippe Roussel, Ivan Ciofi, Kristof Croes A pragmatic network-aware paradigm for system-level electromigration predictions at scale. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Brian T. McGowan, Michal Rakowski, Seungman Choi Nickel Silicide Electromigration on Micro Ring Modulators for Silicon Photonics Technology. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Mahta Mayahinia, Hsiao-Hsuan Liu, Subrat Mishra, Zsolt Tokei, Francky Catthoor, Mehdi B. Tahoori Electromigration-aware design technology co-optimization for SRAM in advanced technology nodes. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Mahta Mayahinia, Mehdi Baradaran Tahoori, Grigor Tshagharyan, Gurgen Harutyunyan, Yervant Zorian On-chip Electromigration Sensor for Silicon Lifecycle Management of Nanoscale VLSI. Search on Bibsonomy ETS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Pavlos Stoikos, George Floros 0002, Dimitrios Garyfallou, Nestor E. Evmorfopoulos, George I. Stamoulis A Fast Semi-Analytical Approach for Transient Electromigration Analysis of Interconnect Trees Using Matrix Exponential. Search on Bibsonomy ASP-DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Nestor E. Evmorfopoulos, Mohammad Abdullah Al Shohel, Olympia Axelou, Pavlos Stoikos, Vidya A. Chhabria, Sachin S. Sapatnekar Recent Progress in the Analysis of Electromigration and Stress Migration in Large Multisegment Interconnects. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Armen Kteyan, Valeriy Sukharev, Alexander Volkov, Jun-Ho Choy, Farid N. Najm, Yong Hyeon Yi, Chris H. Kim, Stéphane Moreau Electromigration Assessment in Power Grids with Account of Redundancy and Non-Uniform Temperature Distribution. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Susann Rothe, Jens Lienig Combined Modeling of Electromigration, Thermal and Stress Migration in AC Interconnect Lines. Search on Bibsonomy ISPD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Olympia Axelou, Eleni Tselepi, George Floros 0002, Nestor E. Evmorfopoulos, Georgios I. Stamoulis PROTON - A Python Framework for Physics-Based Electromigration Assessment on Contemporary VLSI Power Grids. Search on Bibsonomy SMACD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Pavlos Stoikos, George Floros 0002, Dimitrios Garyfallou, Nestor E. Evmorfopoulos, Georgios I. Stamoulis Electromigration Stress Analysis with Rational Krylov-based Approximation of Matrix Exponential. Search on Bibsonomy SMACD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Mohammad Abdullah Al Shohel, Vidya A. Chhabria, Nestoras E. Evmorfopoulos, Sachin S. Sapatnekar Frequency-Domain Transient Electromigration Analysis Using Circuit Theory. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Subed Lamichhane, Wentian Jin, Liang Chen 0025, Mohammadamir Kavousi, Sheldon X.-D. Tan PostPINN-EM: Fast Post-Voiding Electromigration Analysis Using Two-Stage Physics-Informed Neural Networks. Search on Bibsonomy ICCAD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Hongchao Zhang, Yunfan Zuo A 2D Clock Interconnect Electromigration-Thermal Coupling Simulation Method Based on COMSOL. Search on Bibsonomy ASICON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Bijan Shahriari, Farid N. Najm Fast Electromigration Simulation for Chip Power Grids. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
18Mahta Mayahinia, Mehdi B. Tahoori, Manu Perumkunnil Komalan, Houman Zahedmanesh, Kristof Croes, Tommaso Marinelli, José Ignacio Gómez Pérez, Timon Evenblij, Gouri Sankar Kar, Francky Catthoor Time-Dependent Electromigration Modeling for Workload-Aware Design-Space Exploration in STT-MRAM. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Valeriy Sukharev, Armen Kteyan, Farid N. Najm, Yong Hyeon Yi, Chris H. Kim, Jun-Ho Choy, Sofya Torosyan, Yu Zhu Experimental Validation of a Novel Methodology for Electromigration Assessment in On-Chip Power Grids. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Rui Zhang 0048, Taizhi Liu, Kexin Yang 0001, Linda Milor CacheEM: For Reliability Analysis on Cache Memory Aging Due to Electromigration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Xin Gan, Yueying Zhang, Yupeng Hui, Shaoxuan Liu, Lei Wang, Jincheng Zhang, Yue Hao, Haijiao Harsan Ma Controlling Memristance and Negative Differential Resistance in Point-Contacted Metal-Oxides-Metal Heterojunctions: Role of Oxygen Vacancy Electromigration and Electron Hopping. Search on Bibsonomy Adv. Intell. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Pavlos Stoikos, George Floros 0002, Dimitrios Garyfallou, Nestor E. Evmorfopoulos, George I. Stamoulis A Fast Semi-Analytical Approach for Transient Electromigration Analysis of Interconnect Trees using Matrix Exponential. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Hajdin Ceric, Roberto Lacerda de Orio, Siegfried Selberherr Impact of Gold Interconnect Microstructure on Electromigration Failure Time Statistics. Search on Bibsonomy ESSDERC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Armen Kteyan, Valeriy Sukharev, Y. Yi, Chris H. Kim Novel methodology for temperature-aware electromigration assessment in on-chip power grid: simulations and experimental validation (Invited). Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Houman Zahedmanesh, Ivan Ciofi, Odysseas Zografos, Kristof Croes, Mustafa Badaroglu System-Level Simulation of Electromigration in a 3 nm CMOS Power Delivery Network: The Effect of Grid Redundancy, Metallization Stack and Standard-Cell Currents. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18M. H. Lin, C. I. Lin, Y. C. Wang, Aaron Wang Redundancy Effect on Electromigration Failure Time in Power Grid Networks. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18O. Varela Pedreira, Melina Lofrano, Houman Zahedmanesh, Philippe J. Roussel, Marleen H. van der Veen, Veerle Simons, Emmanuel Chery, Ivan Ciofi, Kris Croes Assessment of critical Co electromigration parameters. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Mohammadamir Kavousi, Liang Chen 0025, Sheldon X.-D. Tan Fast Electromigration Stress Analysis Considering Spatial Joule Heating Effects. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Leila Sharara, Seyedeh Masoumeh Navidi, Hamza Al Maharmeh, Samad Parekh, Ali Wehbi, Mohammad Alhawari, Mohammed Ismail 0001 Analysis and Effects of Aging and Electromigration on Mixed-Signal ICs in 22nm FDSOI Technology. Search on Bibsonomy ICECS 2022 The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Olympia Axelou, George Floros 0002, Nestor E. Evmorfopoulos, George I. Stamoulis Accelerating Electromigration Stress Analysis Using Low-Rank Balanced Truncation. Search on Bibsonomy SMACD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Wentian Jin, Liang Chen 0025, Subed Lamichhane, Mohammadamir Kavousi, Sheldon X.-D. Tan HierPINN-EM: Fast Learning-Based Electromigration Analysis for Multi-Segment Interconnects Using Hierarchical Physics-Informed Neural Network. Search on Bibsonomy ICCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Olympia Axelou, Nestor E. Evmorfopoulos, George Floros 0002, George I. Stamoulis, Sachin S. Sapatnekar A Novel Semi-Analytical Approach for Fast Electromigration Stress Analysis in Multi-Segment Interconnects. Search on Bibsonomy ICCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Mahta Mayahinia, Mehdi B. Tahoori, Gurgen Harutyunyan, Grigor Tshagharyan, Karen Amirkhanyan An Efficient Test Strategy for Detection of Electromigration Impact in Advanced FinFET Memories. Search on Bibsonomy ITC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Mahta Mayahinia, Mehdi B. Tahoori, Manu Perumkunnil, Kristof Croes, Francky Catthoor Analyzing the Electromigration Challenges of Computation in Resistive Memories. Search on Bibsonomy ITC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
18Jing Wang, Yici Cai, Qiang Zhou 0001 Temperature-Aware Electromigration Analysis with Current-Tracking in Power Grid Networks. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Qian Lin, Hai-Feng Wu, Lin Zhu, Xiao-Ming Zhang, Lin-Sheng Liu Design and Electromigration Study for a Stacked Distributed Power Amplifier. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Xiaoyi Wang, Shaobin Ma, Sheldon X.-D. Tan, Chase Cook, Liang Chen 0025, Jianlei Yang 0001, Wenjian Yu Fast Physics-Based Electromigration Analysis for Full-Chip Networks by Efficient Eigenfunction-Based Solution. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Liang Chen 0025, Sheldon X.-D. Tan, Zeyu Sun 0001, Shaoyi Peng, Min Tang, Junfa Mao A Fast Semi-Analytic Approach for Combined Electromigration and Thermomigration Analysis for General Multisegment Interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Linlin Cai, Wangyong Chen, Jinfeng Kang, Gang Du, Xiaoyan Liu, Xing Zhang A physics-based electromigration reliability model for interconnects lifetime prediction. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Bobby Bose, Ishan G. Thakkar Characterization and Mitigation of Electromigration Effects in TSV-Based Power Delivery Network Enabled 3D-Stacked DRAMs. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
18Mohammad Abdullah Al Shohel, Vidya A. Chhabria, Sachin S. Sapatnekar A Linear-Time Algorithm for Steady-State Analysis of Electromigration in General Interconnects. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
18Allison T. Osmanson, Mohsen Tajedini, Yi Ram Kim, Hossein Madanipour, Choong-Un Kim, Bradley Glasscock, Muhammad Khan Mechanisms of Contact Formation and Electromigration Reliability in Wirebond Packages. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Zhenjun Zhang, Matthias Kraatz, Meike Hauschildt, Seungman Choi, André Clausner, Ehrenfried Zschech, Martin Gall Strategy to Characterize Electromigration Short Length Effects in Cu/low-k Interconnects. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Houman Zahedmanesh, Olalla Varela Pedreira, Zsolt Tokei, Kristof Croes Electromigration limits of copper nano-interconnects. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Mohammad Abdullah Al Shohel, Vidya A. Chhabria, Nestor E. Evmorfopoulos, Sachin S. Sapatnekar Analytical Modeling of Transient Electromigration Stress based on Boundary Reflections. Search on Bibsonomy ICCAD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Houman Zahedmanesh, Ivan Ciofi, Odysseas Zografos, Mustafa Badaroglu, Kristof Croes A Novel System-Level Physics-Based Electromigration Modelling Framework: Application to the Power Delivery Network. Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Jing Wang, Yici Cai, Qiang Zhou 0001 A Power Grids Electromigration Analysis with Via Array Using Current-Tracing Model. Search on Bibsonomy ISCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Bobby Bose, Ishan G. Thakkar Characterization and Mitigation of Electromigration Effects in TSV-Based Power Delivery Network Enabled 3D-Stacked DRAMs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Wentian Jin, Liang Chen 0025, Sheriff Sadiqbatcha, Shaoyi Peng, Sheldon X.-D. Tan EMGraph: Fast Learning-Based Electromigration Analysis for Multi-Segment Interconnect Using Graph Convolution Networks. Search on Bibsonomy DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
18Emmanuel Ofori-Attah, Michael Opoku Agyeman ABENA: An Ageing before Temperature Electromigration-Aware Neighbour Allocation for Many-Core Architectures. Search on Bibsonomy DSDE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 408 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license