The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for instruction with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958-1963 (15) 1964-1967 (16) 1968 (15) 1969-1972 (22) 1973-1974 (38) 1975-1976 (32) 1977 (19) 1978 (20) 1979 (19) 1980 (21) 1981 (18) 1982 (45) 1983 (24) 1984 (35) 1985 (26) 1986 (38) 1987 (67) 1988 (92) 1989 (90) 1990 (104) 1991 (94) 1992 (99) 1993 (111) 1994 (136) 1995 (151) 1996 (247) 1997 (229) 1998 (225) 1999 (286) 2000 (344) 2001 (337) 2002 (403) 2003 (497) 2004 (547) 2005 (603) 2006 (715) 2007 (639) 2008 (722) 2009 (452) 2010 (273) 2011 (212) 2012 (228) 2013 (213) 2014 (214) 2015 (199) 2016 (210) 2017 (208) 2018 (222) 2019 (203) 2020 (236) 2021 (273) 2022 (286) 2023 (641) 2024 (231)
Publication types (Num. hits)
article(3426) book(12) incollection(148) inproceedings(7725) phdthesis(130) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(690) MICRO(269) ISCA(208) IEEE Trans. Computers(179) SIGCSE(165) DATE(159) DAC(133) ICCD(118) IEEE Trans. Very Large Scale I...(107) Innovative Techniques in Instr...(104) Comput. Educ.(99) HPCA(94) ASPLOS(88) ASAP(86) CASES(86) ICALT(81) More (+10 of total 2027)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8210 occurrences of 3021 keywords

Results
Found 11442 publication records. Showing 11442 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
97John D. Bunda, Donald S. Fussell, William C. Athas Energy-efficient instruction set architecture for CMOS microprocessors. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF energy-efficient instruction set architecture, CMOS microprocessors, portable battery-based applications, performance-driven designs, processor architecture tradeoffs, program encoding size, instruction set richness, energy cost, speculative instruction fetching, execution resources, instruction-level parallel machines, multiple-path instruction fetching, high execution bandwidth, power management, microprocessor chips, instruction sets, power dissipation, CMOS digital integrated circuits, reduced instruction set computing, cooling, design constraint, instruction delivery, code density
85Yuying Wang, Xingshe Zhou 0001 Mutual Effect of Instruction Layout Optimization and Instruction Memory Hierarchy. Search on Bibsonomy ICPP Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Instruction Layout Optimization, Instruction Cache Miss Rate, Cache Memory Hierarchy
81Xianhua Liu 0001, Jiyu Zhang, Xu Cheng 0001 NISD: A Framework for Automatic Narrow Instruction Set Design. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dual-width instruction set, narrow instruction set design, automatic instruction set design
78Thomas M. Conte, Sanjeev Banerjia, Sergei Y. Larin, Kishore N. Menezes, Sumedh W. Sathaye Instruction Fetch Mechanisms for VLIW Architectures with Compressed Encodings. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF TINKER experimental testbed, compressed encodings, compressed instruction encoding, i-fetch hardware, instruction fetch mechanisms, instruction words, multiple instruction issue, silo cache, parallel architectures, trace-driven simulations, instruction cache, VLIW architectures
77Toshinori Sato A Simulation Study of Pipelining and Decoupling a Dynamic Instruction Scheduling Mechanism. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF instruction reissue, instruction window design, instruction level parallelism, data speculation, dynamic instruction scheduling
73Ahmad Zmily, Christos Kozyrakis A low power front-end for embedded processors using a block-aware instruction set. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF instruction re-ordering, low power front-end, software hints, tagless instruction cache, unified instruction cache and BTB, instruction prefetching
72Stephen Roderick Hines, Yuval Peress, Peter Gavin, David B. Whalley, Gary S. Tyson Guaranteeing instruction fetch behavior with a lookahead instruction fetch engine (LIFE). Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF l0/filter cache, lookahead instruction fetch engine (life), tagless hit instruction cache (th-ic)
72Sheayun Lee, Jaejin Lee, Chang Yun Park, Sang Lyul Min Selective code transformation for dual instruction set processors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Dual instruction set processors, mixed-width instruction set architecture, reduced bid-width instruction set architecture
69Jack W. Davidson, David B. Whalley Ease: An Environment for Architecture Study and Experimentation. Search on Bibsonomy SIGMETRICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
68Han-Xin Sun, Kun-Peng Yang, Yulai Zhao 0003, Dong Tong 0001, Xu Cheng 0001 CASA: A New IFU Architecture for Power-Efficient Instruction Cache and TLB Designs. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF instruction TLB, instruction fetch unit, power-efficient design, computer architecture, dynamic voltage scaling, instruction cache
68Jih-Ching Chiu, I-Huan Huang, Chung-Ping Chung Design of Instruction Stream Buffer with Trace Support for X86 Processors. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF instruction stream buffer, x86 architecture, multiple instruction fetch, superscalar processor, ILP, Trace cache
66Arvind Krishnaswamy, Rajiv Gupta 0001 Dynamic coalescing for 16-bit instructions. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 16-bit Thumb ISA, 32-bit ARM ISA, AX instructions, instruction coalescing, performance, energy, Embedded processor, code size
63Jun Yan 0008, Wei Zhang 0002 Analyzing the worst-case execution time for instruction caches with prefetching. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF instruction caches, hard real-time, Worst-case execution time analysis, instruction prefetching
63Jun Yan 0008, Wei Zhang 0002 WCET analysis of instruction caches with prefetching. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF instruction cache, hard real-time, worst-case execution time analysis, instruction prefetching
63Pierre Michaud, André Seznec, Stéphan Jourdan Exploring Instruction-Fetch Bandwidth Requirement in Wide-Issue Superscalar Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF instruction-level parallelism, branch prediction, superscalar processors, instruction fetch
63Gideon D. Intrater, Ilan Y. Spillinger Performance Evaluation of a Decoded Instruction Cache for Variable Instruction Length Computers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF decoded instruction cache, variable instruction length computers, instruction decoder, instruction pipeline stages, instruction length distribution, UNIX applications, performance evaluation, performance evaluation, computer architecture, trace driven simulations, buffer storage
61A. F. R. Brown Language Translation. Search on Bibsonomy J. ACM The full citation details ... 1958 DBLP  DOI  BibTeX  RDF
60Stephen Roderick Hines, Gary S. Tyson, David B. Whalley Addressing instruction fetch bottlenecks by using an instruction register file. Search on Bibsonomy LCTES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF L0/filter cache, instruction packing, instruction register file
60Mehrdad Reshadi, Prabhat Mishra 0001, Nikil D. Dutt Instruction set compiled simulation: a technique for fast and flexible instruction set simulation. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF instruction abstraction, interpretive simulation, instruction set architectures, compiled simulation
60Mehrdad Reshadi, Nikil D. Dutt, Prabhat Mishra 0001 A retargetable framework for instruction-set architecture simulation. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Retargetable instruction-set simulation, generic instruction model, instruction binary encoding, architecture description language, decode algorithm
60Mehrdad Reshadi, Nikhil Bansal 0003, Prabhat Mishra 0001, Nikil D. Dutt An efficient retargetable framework for instruction-set simulation. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF generic instruction model, instruction binary encoding, retargetable instruction-set simulation, architecture description language, decode algorithm
60Robert Yung Design Decisions Influencing the UltraSPARC's Instruction Fetch Architecture. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF UltraSPARC, fast cycle time, in-cache prediction, instruction fetch architecture, instruction fetch unit, lower cycle-per-instruction, predictive set-associative cache, prefetch and dispatch unit, trade-off decisions, computer architecture, microprocessor
59W. Lynn Gallagher, Chuan-lin Wu Evaluation of a memory hierarchy for the MTS multithreaded processor. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF memory hierarchy evaluation, MTS multithreaded processor, hardware resource utilization, instruction throughput, multithreaded superscalar processor, multiple instruction streams, multiple functional unit architecture, parameter-driven simulator, SES/workbench, numerical benchmarks, memory system configurations, main memory latency, cache hit rates, realistic multilevel cache hierarchy, parallel processing, VLIW, superscalar processor, instruction cache
59Stavros Harizopoulos, Anastassia Ailamaki Improving instruction cache performance in OLTP. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Instruction cache, cache misses
58Michael Ferdman, Thomas F. Wenisch, Anastasia Ailamaki, Babak Falsafi, Andreas Moshovos Temporal instruction fetch streaming. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
58Jun Yang 0002, Rajiv Gupta 0001 Load Redundancy Removal through Instruction Reuse. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
57Roger Collins, Gordon B. Steven Instruction Scheduling for a Superscalar Architecture. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF compile-time instruction scheduling, conditional group scheduler, HSA processor model, guarded instruction execution, instruction squashing, instruction buffer, performance evaluation, superscalar processors, superscalar architecture, functional units, branch instructions
57Robert Law Using student blogs for documentation in software development projects. Search on Bibsonomy ITiCSE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
55Eric Rotenberg, Steve Bennett, James E. Smith 0001 Trace Cache: A Low Latency Approach to High Bandwidth Instruction Fetching. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiple branch prediction, superscalar processors, instruction cache, trace cache, instruction fetching
55Allen C. Cheng, Gary S. Tyson An Energy Efficient Instruction Set Synthesis Framework for Low Power Embedded System Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Low-power design, reconfigurable hardware, real-time and embedded systems, energy-aware systems, instruction set design
55Aviral Shrivastava, Partha Biswas, Ashok Halambi, Nikil D. Dutt, Alexandru Nicolau Compilation framework for code size reduction using reduced bit-width ISAs (rISAs). Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF codesize reduction, dual instruction set, narrow bit-width instruction set, rISA, register pressure-based code generation, thumb, optimization, compilers, Code generation, code compression, retargetable compilers
54Toshinori Sato, Itsujiro Arita Simplifying Instruction Issue Logic in Superscalar Processors. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
54Glenn Reinman, Brad Calder, Todd M. Austin Optimizations Enabled by a Decoupled Front-End Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fetch architectures, branch prediction, Decoupled architectures, instruction prefetching
53Alfred Kirigha, Neema-Abooki Systemic Design of Instruction On Achieving The Goals of Undergraduate Level Education in Universities: A Case Study of Makerere University. Search on Bibsonomy Innovative Techniques in Instruction Technology, E-learning, E-assessment, and Education The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ADDIE: Analysis, Implementation and Evaluation, FCIT: Faculty of Computing and Information Technologies, ICT: Information Communication Technologies, MANCOVA: Multiple Analysis of Covariance, SOE: School of Education, Design, Development
52Shao-Yang Wang, Rong-Guey Chang Code size reduction by compressing repeated instruction sequences. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Repeated instruction sequence, Index table, Instruction table, Register bank, Code compression, Decompression, Instruction prefetching
52Kemal Ebcioglu, Erik R. Altman, Michael Gschwind, Sumedh W. Sathaye Dynamic Binary Translation and Optimization. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF adaptive code generation, profile-directed feedback, very long instruction word architectures, instruction set layering, virtual machines, instruction-level parallelism, dynamic optimization, just-in-time compilation, binary translation, Dynamic compilation, instruction set architectures
51Aleksandar Milenkovic, Milena Milenkovic An efficient single-pass trace compression technique utilizing instruction streams. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Instruction and data traces, instruction streams, trace compression
51Ahmad Zmily, Christos Kozyrakis Block-aware instruction set architecture. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF basic block, software hints, branch prediction, Instruction set architecture, instruction fetch, decoupled architecture
51Chingren Lee, Jenq Kuen Lee, TingTing Hwang, Shi-Chun Tsai Compiler optimization on VLIW instruction scheduling for low power. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VLIW instruction scheduling, instruction bus optimizations, low-power optimization, Compilers
51Jack Liu, Timothy Kong, Fred C. Chow Effective Compilation Support for Variable Instruction Set Architecture. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF configurable code generation, variable instruction set, embedded processor, instruction scheduling, dictionary, enumeration, program representation, resource modeling
51Valentina Salapura, Michael Gschwind Hardware/Software Co-Design of a Fuzzy RISC Processor. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF hardware/software co-evaluation, processor core, MIPS RISC processor, fuzzy processing, fuzzy rule evaluation, instruction set definition, performance evaluation, VHDL, logic synthesis, application specific instruction set processor (ASIP), hardware/software co-design, instruction set architecture, subword parallelism
50J. H. Jacobs, Augustus K. Uht, R. C. Ord Modeling the effects of instruction queue loading on a static instruction stream micro-architecture. Search on Bibsonomy MICRO The full citation details ... 1988 DBLP  BibTeX  RDF
50Chih-Yao Lo, Hsin-I Chang, Yu-Teng Chang Research on Recreational Sports Instruction Using an Expert System. Search on Bibsonomy AMT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Recreational Sports Instruction, Fuzzy Inference Mechanism, Expert System
50Chris J. Michael, Maciej Brodowicz, Thomas L. Sterling Improving code compression using clustered modalities. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF data clustering, code compression, instruction set architecture
50Arvind Krishnaswamy, Rajiv Gupta 0001 Enhancing the performance of 16-bit code using augmenting instructions. Search on Bibsonomy LCTES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF 16-bit thumb ISA, 32-bit ARM ISA, AX instructions, instruction coalescing, performance, embedded processor, code size
49Pairoj Trirathanakul, Suwanna Sombunsukho, Suriyong Lertkulvanich, Nithi Buranajant An Effective Construction of Computer Assisted Lesson Based on Interactive Multimedia Computer Assisted Instruction Theory (IMMCAI). Search on Bibsonomy Innovative Techniques in Instruction Technology, E-learning, E-assessment, and Education The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
48Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt Instruction set synthesis with efficient instruction encoding for configurable processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ISA customization and specialization, bitwidth-economical, Application-specific instruction set processor (ASIP), configurable processor, instruction encoding
48Hiroshi Sasaki 0001, Masaaki Kondo, Hiroshi Nakamura Energy-efficient dynamic instruction scheduling logic through instruction grouping. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction grouping, issue queue, dynamic instruction scheduling
48Jack Liu, Fred C. Chow A near-optimal instruction scheduler for a tightly constrained, variable instruction set embedded processor. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF configurable code generation, variable instruction set, embedded processor, instruction scheduling, dictionary, enumeration, program representation, resource modeling
48Alexandru Nicolau, Nikil D. Dutt, Aviral Shrivastava, Partha Biswas, Ashok Halambi A Design Space Exploration Framework for Reduced Bit-Width Instruction Set Architecture (rISA) Design . Search on Bibsonomy ISSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF compressed instruction set, dual Instruction set, rISA, reduced bit-width instruction set, thumb, design space exploration, register pressure
47Ahmad Zmily, Christos Kozyrakis Energy-efficient and high-performance instruction fetch using a block-aware ISA. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF basic blocks, energy efficiency, instruction set architecture, decoupled architecture, instruction delivery
47Ramaswamy Govindarajan, Hongbo Yang, José Nelson Amaral, Chihong Zhang, Guang R. Gao Minimum Register Instruction Sequencing to Reduce Register Spills in Out-of-Order Issue Superscalar Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF code sequence optimization, code generation, Compiler optimization, instruction level parallelism, register allocation, instruction scheduling, superscalar architectures
47Jack Liu, Fred C. Chow, Timothy Kong, Rupan Roy Variable Instruction Set Architecture and Its Compiler Support. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Configurable code generation, variable instruction set, embedded processor, instruction scheduling, dictionary, enumeration, program representation, resource modeling
47Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt Energy-efficient instruction set synthesis for application-specific processors. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, customization, application-specific instruction set processor (ASIP), instruction encoding, energy-delay product
46Jong-eun Lee, Kiyoung Choi, Nikil D. Dutt Efficient instruction encoding for automatic instruction set design of configurable ASIPs. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Demid Borodin, Ben H. H. Juurlink Protective redundancy overhead reduction using instruction vulnerability factor. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF instruction vulnerability, selective protection, performance, redundancy, fault detection
46Dietmar Ebner, Florian Brandner, Bernhard Scholz, Andreas Krall, Peter Wiedermann, Albrecht Kadlec Generalized instruction selection using SSA-graphs. Search on Bibsonomy LCTES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pbqp, compiler, code generation, instruction selection
46Martina Ziefle Instruction Formats and Navigation Aids in Mobile Devices. Search on Bibsonomy USAB The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Instruction format, navigation effectiveness, landmark knowledge, route knowledge, survey knowledge, efficiency, navigation aid
46Tanausú Ramírez, Alex Pajuelo, Oliverio J. Santana, Mateo Valero Kilo-instruction processors, runahead and prefetching. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF runahead, prefetching, speculative execution, memory wall, Kilo-instruction processors
46Ruby B. Lee, A. Murat Fiskiran PLX: An Instruction Set Architecture and Testbed for Multimedia Information Processing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multimedia, processor architecture, instruction set architecture, media processing, ISA
46Eric Rotenberg, Steve Bennett, James E. Smith 0001 A Trace Cache Microarchitecture and Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiple branch prediction, superscalar processors, Instruction cache, trace cache, instruction fetching
46Shengning Wu, Sikun Li Instruction Selection for ARM/Thumb Processors Based on a Multi-objective Ant Algorithm. Search on Bibsonomy CSR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Sheayun Lee, Jaejin Lee, Chang Yun Park, Sang Lyul Min A Flexible Tradeoff Between Code Size and WCET Using a Dual Instruction Set Processor. Search on Bibsonomy SCOPES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
46Sheayun Lee, Jaejin Lee, Sang Lyul Min, Jason Hiser, Jack W. Davidson Code Generation for a Dual Instruction Set Processor Based on Selective Code Transformation. Search on Bibsonomy SCOPES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Eunlim Chi, Chanjung Park, Hwakyung Rim Evaluating the Web-Based Instruction by Item Analysis. Search on Bibsonomy ICCSA (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria An instruction-level energy model for embedded VLIW architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Gregory A. Muthler, David Crowe, Sanjay J. Patel, Steven Lumetta Instruction fetch deferral using static slack. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Glenn Reinman, Brad Calder, Todd M. Austin Fetch Directed Instruction Prefetching. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
46Toshinori Sato Decoupling Recovery Mechanism for Data Speculation from Dynamic Instruction Scheduling Structure. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
45Ramón D. Acosta, Jacob Kjelstrup, Hwa C. Torng An Instruction Issuing Approach to Enhancing Performance in Multiple Functional Unit Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF processor performance enhancement, Dispatch stack, instruction issuing, instruction unit, multiple functional unit processors, multiple instruction dispatching, dynamic instruction scheduling
45James Leslie Keedy An Instruction Set for Evaluating Expressions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF stack-based instruction sets, instruction set optimization, memory-to-memory instruction sets, Code compactness, expression evaluation, instruction set design
44Hai Lin 0004, Yunsi Fei A novel multi-objective instruction synthesis flow for application-specific instruction set processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF instruction set synthesis, application-specific instruction set processor (ASIP)
44Ing-Jer Huang Co-synthesis of pipelined structures and instruction reordering constraints for instruction set processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF compiler instruction optimization, instruction set processor, pipeline hazards, pipeline taxonomy, synthesis
43Daniel Christopher Powell, Björn Franke Using continuous statistical machine learning to enable high-speed performance prediction in hybrid instruction-/cycle-accurate instruction set simulators. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF continuous statistical machine learning, performance prediction, instruction set simulator
43Tobias J. K. Edler von Koch, Igor Böhm, Björn Franke Integrated instruction selection and register allocation for compact code generation exploiting freeform mixing of 16- and 32-bit instructions. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ARCompact, dual instruction set architecture, variable-length instructions, register allocation, code size, instruction selection
43Yulai Zhao 0003, Xianfeng Li, Dong Tong 0001, Xu Cheng 0001 An Energy-Efficient Instruction Scheduler Design with Two-Level Shelving and Adaptive Banking. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF content associative memory (CAM), tag elimination, waiting instruction buffer, instruction scheduler, energy-efficient architecture
43Marco Galluzzi, Valentin Puente, Adrián Cristal, Ramón Beivide, José-Ángel Gregorio, Mateo Valero A first glance at Kilo-instruction based multiprocessors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ROB, in-flight instructions, shared-memory multiprocessors, CC-NUMA, memory wall, instruction window, Kilo-instruction processors
43Aneesh Aggarwal, Manoj Franklin Instruction Replication: Reducing Delays Due to Inter-PE Communication Latency. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Instruction Replication, Inter-PE communication, Instruction Distribution, Instructions per Cycle, Load Imbalance, Clustered processors
43Marco Antonio Ramírez, Adrián Cristal, Alexander V. Veidenbaum, Luis Villa, Mateo Valero A Simple Low-Energy Instruction Wakeup Mechanism. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Instruction wake up, Low power, Superscalar processors, Out of order execution, CAM, Instruction window
43Shyh-Kwei Chen, W. Kent Fuchs Compiler-Assisted Multiple Instruction Word Retry for VLIW Architectures. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF compilers, Fault-tolerant computing, instruction level parallelism, VLIW architectures, instruction retry
43David A. Dunn, Wei-Chung Hsu Instruction Scheduling for the HP PA-8000. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF HP PA-8000, instruction polarity cache interfaces, memory dependences, production compiler, scheduling, latency, compiler optimization, instruction scheduling, resource constraints, micro-architecture
42Kazuaki J. Murakami, Naohiko Irie, Morihiro Kuga, Shinji Tomita SIMP (Single Instruction stream/Multiple Instruction Pipelining): A Novel High-Speed Single-Processor Architecture. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
42Mehrdad Reshadi, Prabhat Mishra 0001, Nikil D. Dutt Hybrid-compiled simulation: An efficient technique for instruction-set architecture simulation. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF interpretive simulation, partial evaluation, instruction set architecture, Compiled simulation
42David Ryan Koes, Seth Copen Goldstein Near-optimal instruction selection on dags. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF instruction selection
42Ramkumar Jayaseelan, Haibin Liu, Tulika Mitra Exploiting forwarding to improve data bandwidth of instruction-set extensions. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction-set extensions, data forwarding
42Angshuman Parashar, Sudhanva Gurumurthi, Anand Sivasubramaniam A Complexity-Effective Approach to ALU Bandwidth Enhancement for Instruction-Level Temporal Redundancy. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Complexity-effective design, Temporal Redundancy, Instruction Reuse
42G. Surendra, Subhasis Banerjee, S. K. Nandy 0001 On the Effectiveness of Flow Aggregation in Improving Instruction Reuse in Network Processing Applications. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiprocessors, network processors, value prediction, instruction reuse
42Ta-Chung Chang, Vikram Iyengar, Elizabeth M. Rudnick A Biased Random Instruction Generation Environment for Architectural Verification of Pipelined Processors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF architectural verification, biased random instruction generation, correctness checking, design error coverage, design verification, coverage metrics
42Kevin Skadron, Pritpal S. Ahuja, Margaret Martonosi, Douglas W. Clark Branch Prediction, Instruction-Window Size, and Cache Size: Performance Trade-Offs and Simulation Techniques. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF instruction window size, register-update unit, simulation, cache, sampling, branch prediction, Microarchitecture, trade-offs, out-of-order execution
42Stephen Hines, David B. Whalley, Gary S. Tyson Adapting compilation techniques to enhance the packing of instructions into registers. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction packing, instruction register file, compiler optimizations
42Zhiguo Ge, Weng-Fai Wong, Hock-Beng Lim DRIM: a low power dynamically reconfigurable instruction memory hierarchy for embedded systems. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Anupam Chattopadhyay, Diandian Zhang, David Kammler, Ernst Martin Witte Power-efficient Instruction Encoding Optimization for Embedded Processors. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Hai-Chen Wang, Chung-Kwong Yuen Exploiting dataflow to extract Java instruction level parallelism on a tag-based multi-issue semi in-order (TMSI) processor. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Dinesh C. Suresh, Walid A. Najjar, Jun Yang 0002 Power Efficient Instruction Caches for Embedded Systems. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42Andrea Bona, Mariagiovanna Sami, Donatella Sciuto, Vittorio Zaccaria, Cristina Silvano, Roberto Zafalon Energy estimation and optimization of embedded VLIW processors based on instruction clustering. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF power estimation, vliw architectures
42Heidi Pan, Krste Asanovic Heads and tails: a variable-length instruction format supporting parallel fetch and decode. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
42P.-H. Chang, Wen-mei W. Hwu Forward semantic: a compiler-assisted instruction fetch method for heavily pipelined processors. Search on Bibsonomy MICRO The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
42Wen-mei W. Hwu, Pohua P. Chang Achieving High Instruction Cache Performance with an Optimizing Compiler. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
42Jack W. Davidson, Richard A. Vaughan The Effect of Instruction Set Complexity on Program Size and Memory Performance. Search on Bibsonomy ASPLOS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
41Arvind Krishnaswamy, Rajiv Gupta 0001 Efficient Use of Invisible Registers in Thumb Code. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 11442 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license