The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for thermal with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1974 (16) 1975-1981 (15) 1982-1986 (19) 1987-1988 (15) 1989-1991 (21) 1992 (16) 1993 (27) 1994 (27) 1995 (22) 1996 (32) 1997 (45) 1998 (52) 1999 (69) 2000 (64) 2001 (111) 2002 (110) 2003 (174) 2004 (231) 2005 (265) 2006 (343) 2007 (414) 2008 (434) 2009 (393) 2010 (382) 2011 (440) 2012 (518) 2013 (656) 2014 (610) 2015 (643) 2016 (663) 2017 (767) 2018 (867) 2019 (1004) 2020 (1046) 2021 (1096) 2022 (1178) 2023 (1166) 2024 (275)
Publication types (Num. hits)
article(7470) book(5) data(22) incollection(36) inproceedings(6563) phdthesis(130)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1285 occurrences of 714 keywords

Results
Found 14226 publication records. Showing 14226 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
153Brent Goplen, Sachin S. Sapatnekar Placement of Thermal Vias in 3-D ICs Using Various Thermal Objectives. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
136Ryan Cochran, Sherief Reda Consistent runtime thermal prediction and control through workload phase detection. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF proactive control, thermal prediction, thermal sensing, workload phase, DVFS, multicore systems
135Brent Goplen, Sachin S. Sapatnekar Thermal via placement in 3D ICs. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 3-D VLSI, thermal gradient, thermal optimization, thermal via, routing, placement, temperature, finite element analysis, 3-D IC
104Nicholas Allec, Zyad Hassan, Li Shang, Robert P. Dick, Ronggui Yang ThermalScope: multi-scale thermal analysis for nanometer-scale integrated circuits. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
103Hao Yu 0001, Yiyu Shi 0001, Lei He 0001, Tanay Karnik Thermal via allocation for 3D ICs considering temporally and spatially variant thermal power. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SQP optimization, structured and parameterized macromodel, thermal management and simulation
102Ryan Cochran, Sherief Reda Spectral techniques for high-resolution thermal characterization with limited sensor data. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF spatial estimation, spectral methods, thermal management, thermal sensors
102Zhenyu Wang, Linghong Zhou, Chaomin Chen, Anyang Wei, Qian Ni, Lin Zhu Biological Thermal Effects Analysis of High Power Laser for BPH. Search on Bibsonomy BMEI (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF thermal effect, thermal damage, biological tissue, BPH, laser
102Seong G. Kong, Jingu Heo, Faysal Boughorbel, Yue Zheng, Besma R. Abidi, Andreas F. Koschan, Mingzhong Yi, Mongi A. Abidi Multiscale Fusion of Visible and Thermal IR Images for Illumination-Invariant Face Recognition. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF visible-thermal image fusion, multisensor image registration, thermal infrared imaging, eyeglass replacement, security, face recognition, personal identification
99Hsin-Ni Ho, Lynette A. Jones Thermal Model for Hand-Object Interactions. Search on Bibsonomy HAPTICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Fingerpad surface roughness, hand-object interaction, thermal contact resistance, thermal feedback, virtual environment, haptic interface, thermal model, thermal display
96Abdullah Nazma Nowroz, Ryan Cochran, Sherief Reda Thermal monitoring of real processors: techniques for sensor allocation and full characterization. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF k-LSE, sensors allocation, thermal characterization, spectral methods, compressive sensing
95Amit Kumar 0002, Li Shang, Li-Shiuan Peh, Niraj K. Jha HybDTM: a coordinated hardware-software approach for dynamic thermal management. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hybrid hardware-software management, thermal model, dynamic thermal management
93Hsin-Ni Ho, Lynette A. Jones Development and evaluation of a thermal display for material identification and discrimination. Search on Bibsonomy ACM Trans. Appl. Percept. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF hand-object interaction, material identification, semi-infinite body model, thermal feedback, thermal perception, virtual environment, Haptic interface, thermal display
90Li Shang, Li-Shiuan Peh, Amit Kumar 0002, Niraj K. Jha Thermal Modeling, Characterization and Management of On-Chip Networks. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
88Zhuoyuan Li, Xianlong Hong, Qiang Zhou 0001, Shan Zeng, Jinian Bian, Hannah Honghua Yang, Vijay Pitchumani, Chung-Kuan Cheng Integrating dynamic thermal via planning with 3D floorplanning algorithm. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D floorplanning, thermal optimization, thermal via
85Jiayi Liu, Sheqin Dong, Yuchun Ma, Di Long, Xianlong Hong Thermal-driven Symmetry Constraint for Analog Layout with CBL Representation. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thermal-optimal placement, thermal-driven symmetry constraint, analog layout, thermal constraint, hot-spot effect, temperature gradient, symmetrical devices, placement process, geometric symmetry, corner block list, thermal model
83Jing Li, Hiroshi Miyashita Efficient Thermal Via Planning for Placement of 3D Integrated Circuits. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
82Mark Po-Hung Lin, Hongbo Zhang 0001, Martin D. F. Wong, Yao-Wen Chang Thermal-driven analog placement considering device matching. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF analog placement, thermal matching
82Wei Huang 0004, Eric Humenay, Kevin Skadron, Mircea R. Stan The need for a full-chip and package thermal model for thermally optimized IC designs. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF leakage, package, thermal model, temperature-aware design
82Masood Mehmood Khan, Robert D. Ward, Michael Ingleby Classifying pretended and evoked facial expressions of positive and negative affective states using infrared measurement of skin temperature. Search on Bibsonomy ACM Trans. Appl. Percept. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Physiology-based automated affect recognition, affective computing and thermal infrared imaging, facial expression classification
81Takuji Narumi, Tomohiro Akagawa, Young Ah Seong, Michitaka Hirose Characterizing the Space by Thermal Feedback through a Wearable Device. Search on Bibsonomy HCI (13) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Ambient Controlling, Characterizing the Space, Thermal Sensation, Thermal Feedback, Wearable Computing
81Ravishankar Rao, Sarma B. K. Vrudhula Performance optimal processor throttling under thermal constraints. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage dependence on temperature, power, thermal management, thermal model, throttling
77Hao Yu 0001, Yiyu Shi 0001, Lei He 0001, Tanay Karnik Thermal Via Allocation for 3-D ICs Considering Temporally and Spatially Variant Thermal Power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
77Amit Kumar 0002, Li Shang, Li-Shiuan Peh, Niraj K. Jha System-Level Dynamic Thermal Management for High-Performance Microprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
77Zhenyu (Peter) Gu, Yonghong Yang, Jia Wang 0003, Robert P. Dick, Li Shang TAPHS: thermal-aware unified physical-level and high-level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
77Yonghong Yang, Changyun Zhu, Zhenyu (Peter) Gu, Li Shang, Robert P. Dick Adaptive multi-domain thermal modeling and analysis for integrated circuit synthesis and design. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
77Jing Li, Hiroshi Miyashita Post-placement Thermal Via Planning for 3D Integrated Circuit. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
76Wei Huang 0004, Kevin Skadron, Sudhanva Gurumurthi, Robert J. Ribando, Mircea R. Stan Differentiating the roles of IR measurement and simulation for power and temperature-aware design. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
75Spandana Remarsu, Sandip Kundu On process variation tolerant low cost thermal sensor design in 32nm CMOS technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF self compensating comparator, dithering, thermal sensor
75Li Jiang, Wang Zhan, Murray H. Loew Dynamic Thermal Modeling of the Normal and Tumorous Breast under Elastic Deformation. Search on Bibsonomy MICCAI (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic infrared imaging, thermal-elastic modeling, breast cancer
75Haixia Yan, Qiang Zhou 0001, Xianlong Hong Efficient Thermal Aware Placement Approach Integrated with 3D DCT Placement Algorithm. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF placement, DCT, 3D, thermal
75Hao Yu 0001, Joanna Ho, Lei He 0001 Simultaneous power and thermal integrity driven via stapling in 3D ICs. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF structured and parameterized model order reduction, thermal modeling and management
70Anand Ramalingam, David Z. Pan, Frank Liu 0001, Sani R. Nassif Accurate Thermal Analysis Considering Nonlinear Thermal Conductivity. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
70Francesco Zanini, David Atienza, Giovanni De Micheli A control theory approach for thermal balancing of MPSoC. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
70Wei Huang 0004, Karthik Sankaranarayanan, Kevin Skadron, Robert J. Ribando, Mircea R. Stan Accurate, Pre-RTL Temperature-Aware Design Using a Parameterized, Geometric Thermal Model. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
70Changyun Zhu, Zhenyu (Peter) Gu, Li Shang, Robert P. Dick, Russ Joseph Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
70Alexandre Peixoto Ferreira, Daniel Mossé, Jae C. Oh Thermal Faults Modeling Using a RC Model with an Application to Web Farms. Search on Bibsonomy ECRTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
70Chee How Lim, W. Robert Daasch, George Cai A Thermal-Aware Superscalar Microprocessor (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
69Rajarshi Mukherjee, Seda Ogrenci Memik Systematic temperature sensor allocation and placement for microprocessors. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sensor, placement, allocation, temperature
69Kevin Skadron, Tarek F. Abdelzaher, Mircea R. Stan Control-Theoretic Techniques and Thermal-RC Modeling for Accurate and Localized Dynamic Thermal Management. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF thermal modeling and management, formal feedback control theory, performance, power, microprocessors
68Zongwu Tang Efficient design practices for thermal management of a TSV based 3D IC system. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF thermal gradient, placement, design rule, TSV
68Francisco J. Mesa-Martinez, Ehsan K. Ardestani, Jose Renau Characterizing processor thermal behavior. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF microarchitecture, temperature, thermal simulation
68Byunghyun Lee, Ki-Seok Chung, Bontae Koo, Nak-Woong Eum, Taewhan Kim Thermal sensor allocation and placement for reconfigurable systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimal placement, unate-covering problem, reconfigurable system, Thermal sensor
68Michael Kadin, Sherief Reda, Augustus K. Uht Central vs. distributed dynamic thermal management for multi-core processors: which one is better? Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dfvs, timing, thermal management
68Chong Sun, Li Shang, Robert P. Dick Three-dimensional multiprocessor system-on-chip thermal optimization. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF synthesis, 3D, multiprocessor system-on-chip, thermal
67Shigeyoshi Iizuka, Sakae Yamamoto Experimental Study about Effect of Thermal Information Presentation to Mouse. Search on Bibsonomy HCI (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF thermal information, thermal mouse, warm sense, cold sense, pair comparisons
67Omer Khan, Sandip Kundu Predictive Thermal Management for Chip Multiprocessors Using Co-designed Virtual Machines. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Dynamic Thermal Management (DTM), Virtual Thermal Manager (VTM), Dynamic Voltage and Frequency Scaling (DVFS)
67Yael Salzer, Tal Oron-Gilad, Adi Ronen Thermoelectric tactile display based on the thermal grill illusion. Search on Bibsonomy ECCE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF grill paradox, thermal grill illusion, thermoelectric cooler (TEC), haptic, tactile display, thermal display
67Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Howard David, Zhao Zhang 0010 Thermal modeling and management of DRAM memory systems. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thermal management, thermal modeling, DRAM memories
63Vladimír Székely, András Poppe, Andras Pahi, Alpar Csendes, G. Hajas, Márta Rencz Electro-thermal and logi-thermal simulation of VLSI designs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
63Seda Ogrenci Memik, Rajarshi Mukherjee, Min Ni, Jieyi Long Optimizing Thermal Sensor Allocation for Microprocessors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
63Jung Hwan Choi, Aditya Bansal, Mesut Meterelliyoz, Jayathi Murthy, Kaushik Roy 0001 Self-Consistent Approach to Leakage Power and Temperature Estimation to Predict Thermal Runaway in FinFET Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
63Yonghong Yang, Zhenyu (Peter) Gu, Changyun Zhu, Robert P. Dick, Li Shang ISAC: Integrated Space-and-Time-Adaptive Chip-Package Thermal Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
63Peng Li 0001, Lawrence T. Pileggi, Mehdi Asheghi, Rajit Chandra IC thermal simulation and modeling via efficient multigrid-based approaches. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
63Yonghong Yang, Zhenyu (Peter) Gu, Changyun Zhu, Li Shang, Robert P. Dick Adaptive chip-package thermal analysis for synthesis and design. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
63Akio Yamamoto, Benjamin Cros, Hironori Hashimoto, Toshiro Higuchi Control of Thermal Tactile Display based on Prediction of Contact Temperature. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
63Ting-Yuan Wang, Jeng-Liang Tsai, Charlie Chung-Ping Chen Thermal and Power Integrity Based Power/Ground Networks Optimization. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
63Tushar S. Shelar, G. S. Visweswaran Inclusion of Thermal Effects in the Simulation of Bipolar Circuits using Circuit Level Behavioral Modeling. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
63Sung-Mo Kang On-chip thermal engineering for peta-scale integration. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
63Gary Barg, Nikola Djordjevic, Steven Hall The Development of Prometheus: An Expert System Tool for Preliminary Design of Spacecraft Thermal Control Systems. Search on Bibsonomy IEA/AIE (Vol. 1) The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
62Zhuoyuan Li, Xianlong Hong, Qiang Zhou 0001, Jinian Bian, Hannah Honghua Yang, Vijay Pitchumani Efficient thermal-oriented 3D floorplanning and thermal via planning for two-stacked-die integration. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF floorplanning, thermal, 3D IC
62Ting-Yuan Wang, Yu-Min Lee, Charlie Chung-Ping Chen 3D thermal-ADI: an efficient chip-level transient thermal simulator. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ADI, design, automation, temperature, finite difference methods, thermal simulation
61Yang Ge, Parth Malani, Qinru Qiu Distributed task migration for thermal management in many-core systems. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF prediction, distributed control, dynamic thermal management
61Jin Cui, Douglas L. Maskell Dynamic thermal-aware scheduling on chip multiprocessor for soft real-time system. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic tas, post thermal map, cmp, soft real-time
61Yongji Jiang, Garrett S. Rose A dual-MOSFET equivalent resistor thermal sensor. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF integrated circuits, dynamic thermal management, vlsi, temperature sensors
61Wei Wu 0024, Lingling Jin, Jun Yang 0002, Pu Liu, Sheldon X.-D. Tan Efficient power modeling and software thermal sensing for runtime temperature monitoring. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Power, thermal
61T. Chiocchio, Rodrigo Leonard, Y. Work, R. Fang, Michael Steurer, Antonello Monti, J. Khan, Juan Carlos Ordonez, Michael Sloderbeck, Stephen L. Woodruff A co-simulation approach for real-time transient analysis of electro-thermal system interactions on board of future all-electric ships. Search on Bibsonomy SCSC The full citation details ... 2007 DBLP  BibTeX  RDF electro-thermal simulation, real-time simulation, co-simulation
61Madhu Mutyam, Feihui Li, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Compiler-directed thermal management for VLIW functional units. Search on Bibsonomy LCTES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF VLIW, thermal, IPC
60Zhe Fan, Yu-Chuan Kuo, Ye Zhao 0004, Feng Qiu, Arie E. Kaufman, William Arcieri Visual simulation of thermal fluid dynamics in a pressurized water reactor. Search on Bibsonomy Vis. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Pressurized thermal shock, Thermal fluid dynamics, Lattice Boltzmann method, Power plant
60Heather Hanson, Stephen W. Keckler, Soraya Ghiasi, Karthick Rajamani, Freeman L. Rawson III, Juan Rubio 0001 Thermal response to DVFS: analysis with an Intel Pentium M. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF thermal measurement, microprocessor, temperature, DVFS, thermal management
60Kevin Skadron, Mircea R. Stan, Karthik Sankaranarayanan, Wei Huang 0004, Sivakumar Velusamy, David Tarjan Temperature-aware microarchitecture: Modeling and implementation. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Dynamic compact thermal models, fetch gating, dynamic voltage scaling, feedback control, dynamic thermal management
59Kai-Yuan Chao, D. F. Wong 0001 Thermal placement for high-performance multichip modules. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF thermal placement, high-performance multichip modules, electrical performance requirements, thermal behavior, high-speed chips, multichip module packages, cooling environments, conduction cooling, convection cooling, chip junction temperatures, circuit analysis computing, multichip modules, thermal models, cooling, convection
58Li Shang, Li-Shiuan Peh, Amit Kumar 0002, Niraj K. Jha Temperature-Aware On-Chip Networks. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Temperature-aware, thermal management, thermal modeling, on-chip networks, thermal, simulation framework
56Basab Datta, Wayne P. Burleson Low-power, process-variation tolerant on-chip thermal monitoring using track and hold based thermal sensors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sensor, interconnect, temperature, oscillator
56Hsin-Ni Ho, Lynette A. Jones Infrared Thermal Measurement System for Evaluating Model-Based Thermal Displays. Search on Bibsonomy WHC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
56Kameswar Rao Vaddina, Ethiopia Nigussie, Pasi Liljeberg, Juha Plosila Self-timed thermal sensing and monitoring of multicore systems. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
56Liqiang He, Cha Narisu A Fast Scheme to Investigate Thermal-Aware Scheduling Policy for Multicore Processors. Search on Bibsonomy APPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
56Brian Swahn, Soha Hassoun Electro-Thermal Analysis of Multi-Fin Devices. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
56Duo Li, Sheldon X.-D. Tan, Murli Tirumala Architecture-level thermal behavioral characterization for multi-core microprocessors. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
56Xin Li, Yuchun Ma, Xianlong Hong, Sheqin Dong, Jason Cong LP based white space redistribution for thermal via planning and performance optimization in 3D ICs. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
56Jun Yang 0002, Xiuyi Zhou, Marek Chrobak, Youtao Zhang, Lingling Jin Dynamic Thermal Management through Task Scheduling. Search on Bibsonomy ISPASS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
56Duo Li, Sheldon X.-D. Tan, Eduardo H. Pacheco, Murli Tirumala Parameterized transient thermal behavioral modeling for chip multiprocessors. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
56Baohua Wang, Pinaki Mazumder Accelerated Chip-Level Thermal Analysis Using Multilayer Green's Function. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
56Yongpan Liu, Huazhong Yang, Robert P. Dick, Hui Wang 0004, Li Shang Thermal vs Energy Optimization for DVFS-Enabled Processors in Embedded Systems. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
56Pu Liu, Hang Li, Lingling Jin, Wei Wu 0024, Sheldon X.-D. Tan, Jun Yang 0002 Fast Thermal Simulation for Runtime Temperature Tracking and Management. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
56Phillip H. Jones, John W. Lockwood, Young H. Cho A Thermal Management and Profiling Method for Reconfigurable Hardware Applications. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
56Jung Hwan Choi, Aditya Bansal, Mesut Meterelliyoz, Jayathi Murthy, Kaushik Roy 0001 Leakage power dependent temperature estimation to predict thermal runaway in FinFET circuits. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
56James Donald, Margaret Martonosi Techniques for Multicore Thermal Management: Classification and New Exploration. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
56Daniel A. Ashlock, Kenneth Mark Bryden, Wendy Ashlock, Stephen P. Gent Rapid training of thermal agents with single parent genetic programming. Search on Bibsonomy Congress on Evolutionary Computation The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
56Jason Cong, Jie Wei, Yan Zhang A thermal-driven floorplanning algorithm for 3D ICs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
56Peng Li 0001, Lawrence T. Pileggi, Mehdi Asheghi, Rajit Chandra Efficient full-chip thermal modeling and analysis. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
56Márta Rencz, Vladimír Székely, András Poppe A Fast Algorithm for the Layout Based Electro-Thermal Simulation. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
56Weiping Liao, Lei He 0001 Coupled Power and Thermal Simulation with Active Cooling. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
56Lynette A. Jones, Michal Berris The Psychophysics of Temperature Perception and Thermal-Interface Design. Search on Bibsonomy Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
55Xie Lingfei, Yang Li Research on Temperature Control and Anti-cracking Simulation for Xiaowan Concrete High Arch Dam. Search on Bibsonomy CSSE (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
55Pu Liu, Sheldon X.-D. Tan, Wei Wu 0024, Murli Tirumala FEKIS: a fast architecture-level thermal analyzer for online thermal regulation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF architecture, model reduction, thermal simulation
54Francesco Zanini, David Atienza, Giovanni De Micheli, Stephen P. Boyd Online convex optimization-based algorithm for thermal management of MPSoCs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF management, MPSoCs, convex optimization, online, thermal
54Hao Yu 0001, Joanna Ho, Lei He 0001 Allocating power ground vias in 3D ICs for simultaneous power and thermal integrity. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Thermal and power integrity, parametric 3D-IC design, macromodeling
54Tomohiro Amemiya Visualizing Thermal Traces to Reveal Histories of Human-Object Interactions. Search on Bibsonomy HCI (6) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF thermal trace, surrogate memory, lifelog
54Yuichi Tamura, Hiroaki Nakamura Thermal display for scientific haptization of numerical simulations. Search on Bibsonomy VRST The full citation details ... 2009 DBLP  DOI  BibTeX  RDF virtual reality, numerical simulation, thermal display, immersive projection display
54Joseph Nayfach-Battilana, Jose Renau SOI, interconnect, package, and mainboard thermal characterization. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SOI modeling, package modeling, thermal modeling, interconnect modeling
Displaying result #1 - #100 of 14226 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license