The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for leakage with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1990 (17) 1991-1994 (16) 1995-1996 (21) 1997-1998 (29) 1999 (27) 2000 (36) 2001 (66) 2002 (123) 2003 (147) 2004 (230) 2005 (320) 2006 (402) 2007 (355) 2008 (381) 2009 (316) 2010 (232) 2011 (217) 2012 (235) 2013 (276) 2014 (304) 2015 (300) 2016 (307) 2017 (321) 2018 (373) 2019 (464) 2020 (414) 2021 (449) 2022 (482) 2023 (481) 2024 (131)
Publication types (Num. hits)
article(3299) book(3) incollection(19) inproceedings(4099) phdthesis(52)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2239 occurrences of 940 keywords

Results
Found 7472 publication records. Showing 7472 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
52Feng Gao 0017, John P. Hayes Exact and Heuristic Approaches to Input Vector Control for Leakage Power Reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Saibal Mukhopadhyay, Swarup Bhunia, Kaushik Roy 0001 Modeling and analysis of loading effect on leakage of nanoscaled bulk-CMOS logic circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Kaviraj Chopra, Sarma B. K. Vrudhula Efficient Symbolic Algorithms for Computing the Minimum and Bounded Leakage States. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Preetham Lakshmikanthan, Adrian Nunez A Novel Methodology to Reduce Leakage Power in CMOS Complementary Circuits. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Akhilesh Kumar, Mohab Anis An analytical state dependent leakage power model for FPGAs. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Sarvesh Bhardwaj, Yu Cao 0001, Sarma B. K. Vrudhula LOTUS: Leakage Optimization under Timing Uncertainty for Standard-cell designs. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Yu Wang 0002, Hai Lin, Huazhong Yang, Rong Luo, Hui Wang 0004 Simultaneous Fine-grain Sleep Transistor Placement and Sizing for Leakage Optimization. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Hong Luo, Huazhong Yang, Rong Luo Accurate and Fast Estimation of Junction Band-to-Band Leakage in Nanometer-Scale MOSFET. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Anup Kumar Sultania, Dennis Sylvester, Sachin S. Sapatnekar Gate oxide leakage and delay tradeoffs for dual-Tox circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Saibal Mukhopadhyay, Arijit Raychowdhury, Kaushik Roy 0001 Accurate estimation of total leakage in nanometer-scale bulk CMOS circuits based on device geometry and doping profile. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Saibal Mukhopadhyay, Swarup Bhunia, Kaushik Roy 0001 Modeling and Analysis of Loading Effect in Leakage of Nano-Scaled Bulk-CMOS Logic Circuits. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Peng Li 0001, Yangdong Deng, Lawrence T. Pileggi Temperature-Dependent Optimization of Cache Leakage Power Dissipation. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Mohab Anis, Mohamed H. Abu-Rahma Leakage Current Variability in Nanometer Technologies, invited. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Hongliang Chang, Sachin S. Sapatnekar Full-chip analysis of leakage power under process variations, including spatial correlations. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Navid Azizi, Farid N. Najm An Asymmetric SRAM Cell to Lower Gate Leakage. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
52Chintan Patel, Abhishek Singh 0001, Jim Plusquellic Defect detection under Realistic Leakage Models using Multiple IDDQ Measurement. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
52James T. Kao, Siva G. Narendra, Anantha P. Chandrakasan Subthreshold leakage modeling and reduction techniques. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
52Stefanos Kaxiras, Zhigang Hu, Margaret Martonosi Cache decay: exploiting generational behavior to reduce cache leakage power. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
52Mark C. Johnson, Dinesh Somasekhar, Kaushik Roy 0001 Models and algorithms for bounds on leakage in CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
52M. Zhang, Stafford E. Tavares, L. Lorne Campbell Information Leakage of Boolean Functions and Its Relationship to Other Cryptographic Criteria. Search on Bibsonomy CCS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
51Hyunhee Kim, Jung Ho Ahn, Jihong Kim 0001 Replication-aware leakage management in chip multiprocessors with private L2 cache. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage power management, chip multiprocessors, L2 caches
51Ting Zhu 0001, Ziguo Zhong, Yu Gu 0001, Tian He 0001, Zhi-Li Zhang Leakage-aware energy synchronization for wireless sensor networks. Search on Bibsonomy MobiSys The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ultra-capacitor, wireless sensor networks, energy, leakage
51Vikas Kaushal, Quentin Diduck, Martin Margala Study of leakage current mechanisms in ballistic deflection transistors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ballistic transport, current leakage mechanism, deflection transistors, silvaco simulation, geometry, monte carlo simulation
51Vineeth Veetil, Dennis Sylvester, David T. Blaauw, Saumil Shah, Steffen Rochel Efficient smart sampling based full-chip leakage analysis for intra-die variation considering state dependence. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF statistical leakage, Monte Carlo, variance reduction
51Lei Cheng 0001, Deming Chen, Martin D. F. Wong A fast simultaneous input vector generation and gate replacement algorithm for leakage power reduction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Input vector control, gate replacement, leakage reduction
51Houman Homayoun, Mohammad A. Makhzan, Alexander V. Veidenbaum Multiple sleep mode leakage control for cache peripheral circuits in embedded processors. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multiple sleep mode, peripheral circuits, cache, embedded processor, leakage power
51Maziar Goudarzi, Tohru Ishihara Instruction cache leakage reduction by changing register operands and using asymmetric sram cells. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF asymmetric sram, leakage, instruction cache, register renaming
51Tao Li, Wenjun Zhang 0001, Zhiping Yu Full-chip leakage analysis in nano-scale technologies: mechanisms, variation sources, and verification. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF leakage modeling, variation source, statistical analysis
51Andrew B. Kahng, Swamy Muddu, Puneet Sharma Detailed placement for leakage reduction using systematic through-pitch variation. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF aCLV, through-pitch, leakage, lithography, detailed placement
51Juan M. Cebrian, Juan L. Aragón, José M. García 0001, Stefanos Kaxiras Adaptive VP decay: making value predictors leakage-efficient designs for high performance processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage, value prediction, energy efficient architectures, cache decay
51Yi-Ping You, Chingren Lee, Jenq Kuen Lee Compilers for leakage power reduction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Compilers for low power, power-gating mechanisms, leakage-power reduction
51Lara D. Oliver, Krishnendu Chakrabarty, Hisham Z. Massoud An evaluation of the impact of gate oxide tunneling on dual-Vt-based leakage reduction techniques. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate oxide tunneling, low power circuit design, subthreshold leakage, dual threshold voltage
51Saumil Shah, Puneet Gupta 0001, Andrew B. Kahng Standard cell library optimization for leakage reduction. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate-length biasing, library optimization, leakage reduction
51Lei Cheng 0001, Liang Deng, Deming Chen, Martin D. F. Wong A fast simultaneous input vector generation and gate replacement algorithm for leakage power reduction. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate replacement, input vector control, leakage reduction
51Yan Meng, Timothy Sherwood, Ryan Kastner Exploring the limits of leakage power reduction in caches. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache intervals, leakage power, Limits
51Lin Yuan, Gang Qu 0001 Enhanced leakage reduction Technique by gate replacement. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF MLV, gate replacement, leakage reduction
51Arifur Rahman, Vijay Polavarapuv Evaluation of low-leakage design techniques for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, leakage power, multiplexer
51Mahesh Mamidipaka, Kamal S. Khouri, Nikil D. Dutt, Magdy S. Abadir Analytical models for leakage power estimation of memory array structures. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF estimation, SRAMs, leakage power
51Hyun Suk Kim, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Adapting instruction level parallelism for optimizing leakage in VLIW architectures. Search on Bibsonomy LCTES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power supply gating, instruction level parallelism, instruction scheduling, VLIW architecture, leakage energy, functional units
51Guangyu Chen, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Mario Wolczko Tracking object life cycle for leakage energy optimization. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Java, cache, leakage energy
51Chris H. Kim, Jae-Joon Kim, Saibal Mukhopadhyay, Kaushik Roy 0001 A forward body-biased low-leakage SRAM cache: device and architecture considerations. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF forward body-biasing, super high VT, SRAM, leakage power
51Haihua Su, Frank Liu 0001, Anirudh Devgan, Emrah Acar, Sani R. Nassif Full chip leakage estimation considering power supply and temperature variations. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF supply voltage variation, leakage power, thermal analysis
51Fatih Hamzaoglu, Mircea R. Stan Circuit-level techniques to control gate leakage for sub-100nm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF low power, MTCMOS, gate leakage, domino circuits
51David Scott, Shaoping Tang, Song Zhao, Mahalingam Nandakumar Device Physics Impact on Low Leakage, High Speed DSP Design Techniques (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF standby, GIDL, leakage, tunneling, subthreshold, current
51Kyung Ki Kim, Yong-Bin Kim, Minsu Choi, Nohpill Park Leakage Minimization Technique for Nanoscale CMOS VLSI. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF nanometer CMOS, cell characterization, gate-tunneling current, input pattern generation, leakage power, subthreshold leakage current
51Yu-Shiang Lin, Dennis Sylvester Runtime leakage power estimation technique for combinational circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF subthreshold leakage analysis, runtime leakage power estimation technique, dynamic estimation methods, static estimation methods, combinational circuits, error estimation, SPICE simulations
51Frank Sill, Jiaxi You, Dirk Timmermann Design of mixed gates for leakage reduction. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF mixed gates, leakage current, threshold voltage, gate leakage
51Saibal Mukhopadhyay, Keunwoo Kim, Ching-Te Chuang, Kaushik Roy 0001 Modeling and analysis of total leakage currents in nanoscale double gate devices and circuits. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF double-gate devices, quantum effect, stacking effect, estimation, SRAM, gate leakage, subthreshold leakage
49Dongwoo Lee, Wesley Kwong, David T. Blaauw, Dennis Sylvester Analysis and minimization techniques for total leakage considering gate oxide leakage. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
48Ashoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Optimal sleep transistor synthesis under timing and area constraints. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF row-based, clustering, leakage power, power-gating, standard cell, sleep transistor
48Mark Hempstead, Gu-Yeon Wei, David M. Brooks Architecture and circuit techniques for low-throughput, energy-constrained systems across technology generations. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF wireless sensor networks, low power, system architecture, technology scaling, leakage power reduction
46Xiaochen Guo, Engin Ipek, Tolga Soyata Resistive computation: avoiding the power wall with low-leakage, STT-MRAM based computing. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF STT-MRAM, power-efficiency
46Yu Wang 0002, Xiaoming Chen 0003, Wenping Wang, Varsha Balakrishnan, Yu Cao 0001, Yuan Xie 0001, Huazhong Yang On the efficacy of input Vector Control to mitigate NBTI effects and leakage power. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
46Joël Alwen, Yevgeniy Dodis, Daniel Wichs Leakage-Resilient Public-Key Cryptography in the Bounded-Retrieval Model. Search on Bibsonomy CRYPTO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
46Shilpa Bhoj, Dinesh Bhatia Early stage FPGA interconnect leakage power estimation. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Harmander Singh, Kanak Agarwal, Dennis Sylvester, Kevin J. Nowka Enhanced Leakage Reduction Techniques Using Intermediate Strength Power Gating. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Meng Wang 0005, Zili Shao, Chun Xue, Edwin Hsing-Mean Sha Real-Time Loop Scheduling with Leakage Energy Minimization for Embedded VLIW DSP Processors. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Afshin Nourivand, Chunyan Wang 0004, M. Omair Ahmad An Adaptive Sleep Transistor Biasing Scheme for Low Leakage SRAM. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Ashesh Rastogi, Wei Chen, Alodeep Sanyal, Sandip Kundu An Efficient Technique for Leakage Current Estimation in Sub 65nm Scaled CMOS Circuits Based on Loading Effect. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Lava P. Kumar, Baquer Mazhari Optimum Supply Voltages for Minimization of Leakage Currents in SRAM in Stand-by Mode. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Ahmed Youssef, Mohab Anis, Mohamed I. Elmasry Dynamic Standby Prediction for Leakage Tolerant Microprocessor Functional Units. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Jinwen Xi, Peixin Zhong A Transaction-Level NoC Simulation Platform with Architecture-Level Dynamic and Leakage Energy Models. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF network-on-chip, SystemC, energy model
46Houman Homayoun, Ted H. Szymanski Reducing the Instruction Queue Leakage Power in Superscalar Processors. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Sanjeev K. Jain, Pankaj Agarwal A Low Leakage and SNM Free SRAM Cell Design in Deep Sub Micron CMOS Technology. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Yu Wang 0002, Hui Wang 0004, Huazhong Yang Fine-grain Sleep Transistor Placement Considering Leakage Feedback Gate. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Balaji Vaidyanathan, Suresh Srinivasan, Yuan Xie 0001, Narayanan Vijaykrishnan, Rong Luo Leakage Optimized DECAP Design for FPGAs. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
46Chris H. Kim, Jae-Joon Kim, Saibal Mukhopadhyay, Kaushik Roy 0001 A forward body-biased low-leakage SRAM cache: device, circuit and architecture considerations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Dongwoo Lee, David T. Blaauw, Dennis Sylvester Runtime leakage minimization through probability-aware dual-Vt or dual-tox assignment. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46J. Derakhshandeh, Nasser Masoumi, B. Kasiri, Y. Farazmand, Akbarzadeh, S. Aghnoot A Precise Model for Leakage Power Estimation in VLSI Circuits. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Jun-Cheol Park, Vincent John Mooney III, Philipp Pfeiffenberger Sleepy Stack Reduction of Leakage Power. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
46John C. Lach, Jason Brandon, Kevin Skadron A General Post-Processing Approach to Leakage Current Reduction in SRAM-Based FPGAs. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
46Mohan G. Kabadi, Ranjani Parthasarathi Live-Cache: Exploiting Data Redundancy to Reduce Leakage Energy in a Cache Subsystem. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Nam Sung Kim, Krisztián Flautner, David T. Blaauw, Trevor N. Mudge Drowsy instruction caches: leakage power reduction using dynamic voltage scaling and cache sub-bank prediction. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Steve Dropsho, Volkan Kursun, David H. Albonesi, Sandhya Dwarkadas, Eby G. Friedman Managing static leakage energy in microprocessor functional units. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Afshin Abdollahi, Massoud Pedram, Farzan Fallah Runtime mechanisms for leakage current reduction in CMOS VLSI circuits1, 2. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Chandramouli Gopalakrishnan, Srinivas Katkoori Behavioral synthesis of datapaths with low leakage power. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Wen-Tsong Shiue Leakage power estimation and minimization in VLSI circuits. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
45Xuan-Lun Huang, Chen-Yuan Yang, Jiun-Lang Huang Diagnosing integrator leakage of single-bit first-order DeltaSigma modulator using DC input. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ?? modulation, analog/mixed-signal testing, integrator leakage, diagnosis, design-for-test (DfT)
45Michael J. Anderson, Azadeh Davoodi, Jungseob Lee, Abhishek A. Sinkar, Nam Sung Kim Statistical static timing analysis considering leakage variability in power gated designs. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF process variations, leakage, power gating, ssta
45Kwangok Jeong, Andrew B. Kahng, Chul-Hong Park, Hailong Yao Dose map and placement co-optimization for timing yield enhancement and leakage power reduction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dose map, placement, timing yield, leakage power reduction
45Olga Golubeva, Mirko Loghi, Enrico Macii, Massimo Poncino Locality-driven architectural cache sub-banking for leakage energy reduction. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF banked cache, memory hierarchy, leakage reduction, architectural optimization
45Jacopo Giorgetti, Giuseppe Scotti, Andrea Simonetti, Alessandro Trifiletti Analysis of data dependence of leakage current in CMOS cryptographic hardware. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage power consumption, side channel analysis, cryptographic hardware
45Domenik Helms, Günter Ehmen, Wolfgang Nebel Analysis and modeling of subthreshold leakage of RT-components under PTV and state variation. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF modeling, process variation, leakage, state dependence
45Xin Li 0001, Jiayong Le, Lawrence T. Pileggi Projection-based statistical analysis of full-chip leakage power with non-log-normal distributions. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF statistical analysis, leakage power
45Frank Sill, Frank Grassert, Dirk Timmermann Total leakage power optimization with improved mixed gates. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF MVT, leakage currents, threshold voltage
45Jia-Jhe Li, Yuan-Shin Hwang Snug set-associative caches: reducing leakage power while improving performance. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF leakage power, set-associative caches
45Aman Gayasen, Yuh-Fang Tsai, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Tim Tuan Reducing leakage energy in FPGAs using region-constrained placement. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF region-constrained placement, FPGA, leakage power
45Amir Amirabadi, Javid Jaffari, Ali Afzali-Kusha, Mehrdad Nourani, Ali Khaki-Firooz Leakage current reduction by new technique in standby mode. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF subthreshold current, low power, leakage current, digital integrated circuits, static power
45Puneet Gupta 0001, Andrew B. Kahng, Puneet Sharma, Dennis Sylvester Selective gate-length biasing for cost-effective runtime leakage control. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF power, layout, manufacturability, leakage, OPC, lithography
45Yongjun Xu, Zuying Luo, Zhiguo Chen, Xiaowei Li 0001 Average Leakage Current Macromodeling for Dual-Threshold Voltage Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF stack effect, leakage current simulation, propagation of signal probability, macromodeling
45Jie S. Hu, A. Nadgir, Narayanan Vijaykrishnan, Mary Jane Irwin, Mahmut T. Kandemir Exploiting program hotspots and code sequentiality for instruction cache leakage management. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF leakage power, cache design
45Bhaskar Chatterjee, Manoj Sachdev, Steven Hsu, Ram Krishnamurthy 0001, Shekhar Borkar Effectiveness and scaling trends of leakage control techniques for sub-130nm CMOS technologies. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DSM leakage control and scaling trends, RBB, high performance RF design, non-minimum L
45Ankur Srivastava 0001 Simultaneous Vt selection and assignment for leakage optimization. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF thresh-hold voltage, linear programming, leakage power
45Imad A. Ferzli, Farid N. Najm Statistical estimation of leakage-induced power grid voltage drop considering within-die process variations. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF statistical analysis, leakage current, power grid, voltage drop
45Navid Azizi, Andreas Moshovos, Farid N. Najm Low-leakage asymmetric-cell SRAM. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF low-leakage, low-power, SRAM, dual-Vt
45Rahul Kumar, C. P. Ravikumar Leakage Power Estimation for Deep Submicron Circuits in an ASIC Design Environment. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Power Estimation, Leakage Power, Linear Regression, Deep Submicron
45Saibal Mukhopadhyay, Kaushik Roy 0001 Modeling and estimation of total leakage current in nano-scaled CMOS devices considering the effect of parameter variation. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF band-to-band tunneling, variability, Monte Carlo, threshold voltage, gate leakage, subthreshold leakage
45Alodeep Sanyal, Ashesh Rastogi, Wei Chen, Sandip Kundu An Efficient Technique for Leakage Current Estimation in Nanoscaled CMOS Circuits Incorporating Self-Loading Effects. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF band-to-band-tunneling leakage, loading effect, Newton-Raphson method, gate leakage, Subthreshold leakage
43Janakiraman Viraraghavan, Bishnu Prasad Das, Bharadwaj Amrutur Voltage and Temperature Scalable Standard Cell Leakage Models Based on Stacks for Statistical Leakage Characterization. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Tao Li, Zhiping Yu Statistical Analysis of Full-Chip Leakage Power Considering Junction Tunneling Leakage. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 7472 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license