The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for BIST with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1988 (24) 1989-1990 (20) 1991 (15) 1992 (24) 1993 (25) 1994 (35) 1995 (56) 1996 (51) 1997 (71) 1998 (81) 1999 (84) 2000 (122) 2001 (115) 2002 (121) 2003 (126) 2004 (134) 2005 (114) 2006 (89) 2007 (69) 2008 (72) 2009 (47) 2010 (43) 2011 (34) 2012 (27) 2013 (50) 2014 (34) 2015 (33) 2016-2017 (34) 2018 (22) 2019-2020 (27) 2021-2022 (25) 2023 (17) 2024 (2)
Publication types (Num. hits)
article(532) incollection(2) inproceedings(1304) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1729 occurrences of 545 keywords

Results
Found 1860 publication records. Showing 1843 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
47Christophe Fagot, Olivier Gascuel, Patrick Girard 0001, Christian Landrault A Ring Architecture Strategy for BIST Test Pattern Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF pseudo-random testing, deterministic BIST, logic BIST
47Sybille Hellebrand, Hans-Joachim Wunderlich, Andre Hertwig Mixed-Mode BIST Using Embedded Processors. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF random pattern testing, embedded systems, BIST, deterministic BIST
47Vishal Suthar, Shantanu Dutt Efficient on-line interconnect testing in FPGAs with provable detectability for multiple faults. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Baosheng Wang, Yuejian Wu, André Ivanov Designs for Reducing Test Time of Distributed Small Embedded SRAMs. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Distributed Small Embedded SRAMs, Data Retention Fault Test, Response Analysis, Test Time
47Chien-In Henry Chen, Kiran George Automated Synthesis of Configurable Two-dimensional Linear Feedback Shifter Registers for Random/Embedded Test Patterns. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
47Michael Nicolaidis, Yervant Zorian Scaling Deeper to Submicron: On-Line Testing to the Rescue. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
45Masahide Miyazaki, Tomokazu Yoneda, Hideo Fujiwara A memory grouping method for sharing memory BIST logic. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
45Milos Krstic, Eckhard Grass BIST Technique for GALS Systems. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Danghui Wang, Xiaoya Fan, Deyuan Gao, Shengbing Zhang, Jianfeng An Microprocessor Based Self Schedule and Parallel BIST for System-On-a-Chip. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Mohammed Y. Niamat, Surya S. Hejeebu, Mansoor Alam A BIST Approach for Testing FPGAs Using JBITS. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Lei Li 0036, Krishnendu Chakrabarty Test set embedding for deterministic BIST using a reconfigurable interconnection network. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Emmanouil Kalligeros, Xrysovalantis Kavousianos, Dimitris Nikolos Multiphase BIST: a new reseeding technique for high test-data compression. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Hao-Chiao Hong, Cheng-Wen Wu, Kwang-Ting Cheng A Signa-Delta Modulation Based Analog BIST System with a Wide Bandwidth Fifth-Order Analog Response Extractor for Diagnosis Purpose. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Xiaogang Du, Sudhakar M. Reddy, Don E. Ross, Wu-Tung Cheng, Joseph Rayhawk Memory BIST Using ESP. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
45Haridimos T. Vergos, Dimitris Nikolos, Maciej Bellos, Costas Efstathiou Deterministic BIST for RNS Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF deterministic and pseudorandom tests, formal test sets, Built-In Self-Test, Residue Number System
45Qiushuang Zhang, Ian G. Harris Partial BIST insertion to eliminate data correlation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Chien-In Henry Chen, Kiran George Configurable two-dimensional linear feedback shifter registers for deterministic and random patterns [logic BIST]. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Lei Li 0036, Krishnendu Chakrabarty Deterministic BIST Based on a Reconfigurable Interconnection Network. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Rubin A. Parekhji Testing Embedded Cores and SOCs-DFT, ATPG and BIST Solutions. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Hamidreza Hashempour, Fred J. Meyer, Fabrizio Lombardi Test Time Reduction in a Manufacturing Environment by Combining BIST and ATE. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
45Miron Abramovici, Charles E. Stroud BIST-based test and diagnosis of FPGA logic blocks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
45Ishwar Parulkar, Sandeep K. Gupta 0001, Melvin A. Breuer Introducing redundant computations in RTL data paths for reducing BIST resources. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF behavioral synthesis built-in self-test, redundant operations, data flow graphs
45Dongkyu Youn, Taehyung Kim, Sungju Park A Microcode-Based Memory BIST Implementing Modified March Algorithm. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
45Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik A BIST scheme for RTL circuits based on symbolic testabilityanalysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
45Yuejian Wu, Saman Adham Scan-based BIST fault diagnosis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
45Chih-Tsun Huang, Jing-Reng Huang, Chi-Feng Wu, Cheng-Wen Wu, Tsin-Yuan Chang A Programmable BIST Core for Embedded DRAM. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
45Qiushuang Zhang, Ian G. Harris Partial BIST insertion to eliminate data correlation. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
45Xiaowei Li 0001, Paul Y. S. Cheung An approach to behavioral synthesis for loop-based BIST. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
45Ishwar Parulkar, Sandeep K. Gupta 0001, Melvin A. Breuer Scheduling and Module Assignment for Reducing Bist Resources. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Built-in Self-test, High-level synthesis
45José M. Miranda A BIST and Boundary-Scan Economics Framework. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
45Shambhu J. Upadhyaya, John A. Thodiyil BIST PLAs, Pass or Fail - A Case Study. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
45Chun-Yeh Liu, Kewal K. Saluja, Shambhu J. Upadhyaya BIST-PLA: A Built-in Self-Test Design of Large Programmable Logic Arrays. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
44Zhanglei Wang, Krishnendu Chakrabarty Built-in Self-test and Defect Tolerance in Molecular Electronics-based Nanofabrics. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF nanofabric, CAEN, chemically assembled, reconfiguration, BIST, nanotechnology, defect tolerance, molecular electronics
44Sunil Rafeeque, Vinita Vasudevan A Built-in-Self-Test Scheme for Segmented and Binary Weighted DACs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF digital to analog converters, nonlinearity test, analog testing, mixed-signal BIST
44Abdil Rashid Mohamed, Zebo Peng, Petru Eles A Heuristic for Wiring-Aware Built-In Self-Test Synthesis. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF BIST insertion, wiring area, test synthesis
42Jiaoyan Chen, Dilip P. Vasudevan, Emanuel M. Popovici, Michel P. Schellekens Reversible online BIST using bidirectional BILBO. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bilbo, bist, testing, reversible logic
42Stephen K. Sunter, Aubin Roy Noise-Insensitive Digital BIST for any PLL or DLL. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF BIST, Jitter, Design for test, PLL, Mixed-signal test, DLL
42Valentin Gherman, Hans-Joachim Wunderlich, Jürgen Schlöffel, Michael Garbers Deterministic Logic BIST for Transition Fault Testing. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Deterministic logic BIST, delay test
42Maria Da Gloria Flores, Marcelo Negreiros, Luigi Carro, Altamiro Amadeu Susin, Felipe R. Clayton, Cristiano Benevento Low Cost BIST for Static and Dynamic Testing of ADCs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ADC BIST, noise based testing, mixed-signal test
42Nicola Nicolici, Bashir M. Al-Hashimi Testability Trade-Offs for BIST Data Paths. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF power-constrained test, BIST
42Mohammad H. Tehranipour, Seid Mehdi Fakhraie, Zainalabedin Navabi, M. R. Movahedin A Low-Cost At-Speed BIST Architecture for Embedded Processor and SRAM Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF BIST architecture, DSP/microprocessor, UTS-DSP, bit/word-oriented memory, memory testing, march test
42Hongjoong Shin, Hak-soo Yu, Jacob A. Abraham LFSR-based BIST for analog circuits using slope detection. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF slope detection, BIST, LFSR, analog testing, mixed-signal testing
42L. Cassol, O. Betat, Luigi Carro, Marcelo Lubaszewski The SigmaDelta-BIST Method Applied to Analog Filters. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF BIST, analog test, mixed signal testing, sigma-delta modulator
42Takahisa Hiraide, Kwame Osei Boateng, Hideaki Konishi, Koichi Itaya, Michiaki Emori, Hitoshi Yamanaka, Takashi Mochiyama BIST-Aided Scan Test - A New Method for Test Cost Reduction. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ATPG, BIST, fault coverage, ATE, test cost reduction
42Ilia Polian, Bernd Becker 0001 Stop & Go BIST. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Thermal constraints, BIST, Delay testing, IP cores
42Makoto Sugihara, Hiroto Yasuura Optimization of Test Accesses with a Combined BIST and External Test Scheme. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF external test, CBET, test access, external pins, BIST, test scheduling, test time, test bus
42Peter Wohl, John A. Waicukauski, Sanjay Patel, Gregory A. Maston Effective diagnostics through interval unloads in a BIST environment. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fault diagnosis, built-in self-test (BIST)
42Janusz Sosnowski Analyzing BIST Robustness. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fault effects, BIST, fault simulation, signature analysis
42Gundolf Kiefer, Hans-Joachim Wunderlich Deterministic BIST with Partial Scan. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF deterministic scan-based BIST, partial scan
42Bechir Ayari, Prab Varma Test Cycle Count Reduction in a Parallel Scan BIST Environment. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF ATP6, fault sumulation, BIST
42Lijian Li, Yinghua Min An efficient BIST design using LFSR-ROM architecture. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF read-only storage, BIST design, LFSR-ROM architecture, built-in self test, logic design, automatic test pattern generation, test pattern generation, integrated circuit design, shift registers, hardware overhead, ROM, integrated circuit economics
42José Vicente Calvano, Vladimir Castro Alves, Marcelo Lubaszewski Fault Detection Methodology and BIST Method for 2nd Order Butterworth, Chebyshev and Bessel Filter Approximations. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF BIST, Analog Test, Transient Analysis
42Kwame Osei Boateng, Hiroshi Takahashi, Yuzo Takamatsu General BIST-Amenable Method of Test Generation for Iterative Logic Arrays. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fixed-coverage fixed-size test set, test generation, BIST, iterative logic arrays
42Chauchin Su, Shyh-Jye Jou Decentralized BIST Methodology for System Level Interconnects. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF interconnect, BIST, DFT, boundary scan
42Fulvio Corno, Matteo Sonza Reorda, Maurizio Rebaudengo, Massimo Violante Optimal Vector Selection for Low Power BIST. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Test, Low-Power, BIST
42Rainer Dorsch, Hans-Joachim Wunderlich Accumulator based deterministic BIST. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF hardware pattern generator, BIST, embedded cores
42Patrick Girard 0001, Christian Landrault, V. Moreda, Serge Pravossoudovitch An optimized BIST test pattern generator for delay testing. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF BIST test pattern generator, robust delay fault coverage, single input change test sequence, compatible inputs, optimization, delays, built-in self-test, fault detection, delay testing, test length, area overhead, circuit under test
42Christian Dufaza, Hassan Ihs A BIST-DFT technique for DC test of analog modules. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF current and voltage self-testing, Built-In Voltage Sensor, Built-In Self Test, Design For Testability, analog BIST
42Chen-Pin Kung, Chun-Jieh Huang, Chen-Shang Lin Fast fault simulation for BIST applications. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF signature computation, BIST applications, combinational fault simulation, BISTSIM, demand-driven logic simulation algorithm, fault propagation methods, bit-array computation, parallel-pattern sequential simulation, speedup ratio, VLSI, VLSI, logic testing, built-in self test, integrated circuit testing, combinational circuits, digital simulation, circuit analysis computing, aliasing, test patterns, MISR
42Sybille Hellebrand, Birgit Reeb, Steffen Tarnick, Hans-Joachim Wunderlich Pattern generation for a deterministic BIST scheme. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ATPG, BIST, Test Synthesis
42Joan Carletta, Christos A. Papachristou Testability analysis and insertion for RTL circuits based on pseudorandom BIST. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pseudorandom BIST, indirect feedback, preprocessing transformation, word-level correlation, modeling, logic testing, probability, built-in self test, built-in self-test, integrated circuit testing, Markov processes, automatic testing, Markov model, insertion, testability analysis, test point insertion, iterative technique, RTL circuits, register transfer level circuits
39Alvernon Walker, Parag K. Lala A Transition Based BIST Approach for Passive Analog Circuits. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Built-in Self Test, Analog Test, Analog BIST, Mixed-Signal BIST
39Michael Nicolaidis Theory of Transparent BIST for RAMs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF RAM test algorithms, transparent BIST, BIST, signature analysis, pattern sensitive faults, coupling faults
39Mimi Yiu, Chris Winstead, Vincent C. Gaudet, Christian Schlegel Digital built-in self-test of CMOS analog iterative decoders. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Vishal Suthar, Shantanu Dutt High-diagnosability online built-in self-test of FPGAs via iterative bootstrapping. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF built-in self-tester (BISTer), roving tester (ROTE), FPGAs, functional testing, on-line testing, diagnosability
39Abdil Rashid Mohamed, Zebo Peng, Petru Eles A Wiring-Aware Approach to Minimizing Built-in Self-Test Overhead. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39K. Y. Ko, Mike W. T. Wong, Yim-Shu Lee Testing System-On-Chip by Summations of Cores? Test Output Voltages. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
39Li Chen, Sujit Dey Software-based self-testing methodology for processor cores. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
39Janusz Rajski, Jerzy Tyszer Modular logic built-in self-test for IP cores. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
39Chih-Jen Lin, Yervant Zorian, Sudipta Bhawmik Integration of partial scan and built-in self-test. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF test points, built-in self-test, design for testability, partial scan
37Chunhua Yao, Kewal K. Saluja, Abhishek A. Sinkar WOR-BIST: A Complete Test Solution for Designs Meeting Power, Area and Performance Requirements. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
37Myung-Hoon Yang, YongJoon Kim, Sunghoon Chun, Sungho Kang 0001 An Effective Power Reduction Methodology for Deterministic BIST Using Auxiliary LFSR. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Built-in self-test, Power consumption, Linear feedback shift register, Reseeding
37Artur Jutman, Anton Tsertov, Raimund Ubar Calculation of LFSR Seed and Polynomial Pair for BIST Applications. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Jie Qin, Charles E. Stroud, Foster F. Dai Noise Figure Measurement Using Mixed-Signal BIST. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Ioannis Voyiatzis Embedding test patterns into Low-Power BIST sequences. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Test set embedding, Gray sequences, Low power sequences, Built-In Self Test
37Uthman Alsaiari, Resve A. Saleh Power, Delay and Yield Analysis of BIST/BISR PLAs Using Column Redundancy. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Suresh Kumar Devanathan, Michael L. Bushnell Test Pattern Generation Using Modulation by Haar Wavelets and Correlation for Sequential BIST. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Seongmoon Wang, Sandeep K. Gupta 0001 LT-RTPG: a new test-per-scan BIST TPG for low switching activity. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Dong Xiang, Ming-Jing Chen, Jia-Guang Sun, Hideo Fujiwara Improving test effectiveness of scan-based BIST by scan chain partitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Jee-Youl Ryu, Bruce C. Kim Low-Cost Testing of 5 GHz Low Noise Amplifiers Using New RF BIST Circuit. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF output DC voltage, built-in self-test, low noise amplifier, RFIC
37Yongsheng Wang, Jinxiang Wang 0001, Fengchang Lai, Yizheng Ye Optimal Schemes for ADC BIST Based on Histogram. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Chun-Chieh Wang, Jing-Jia Liou, Yen-Lin Peng, Chih-Tsun Huang, Cheng-Wen Wu A BIST Scheme for FPGA Interconnect Delay Faults. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Ling Zhang, Jishun Kuang A New BIST Solution for System-on-Chip. Search on Bibsonomy PRDC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Abhijit Jas, C. V. Krishna, Nur A. Touba Weighted pseudorandom hybrid BIST. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Andrew B. Kahng, Sherief Reda Combinatorial group testing methods for the BIST diagnosis problem. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Zhiqiang You, Ken-ichi Yamaguchi, Michiko Inoue, Jacob Savir, Hideo Fujiwara Power-Constrained DFT Algorithms for Non-Scan BIST-able RTL Data Paths. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Guan-Xun Chen, Chung-Len Lee 0001, Jwu E. Chen A New BIST Scheme Based on a Summing-into-Timing-Signal Principle with Self Calibration for the DAC. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Saman Adham, Benoit Nadeau-Dostie A BIST Algorithm for Bit/Group Write Enable Faults in SRAMs. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Bryan Nelson, Mani Soma On-chip calibration technique for delay line based BIST jitter measurement. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Bartomeu Alorda, Vicent Canals, Ivan de Paúl, Jaume Segura 0001 A BIST-based Charge Analysis for Embedded Memories. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Current based testing, charge based testing, Embedded memories test, built-in current monitors
37Gang Zeng, Hideo Ito Hybrid BIST for System-on-a-Chip Using an Embedded FPGA Core. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Masaji Kume, Katsutoshi Uehara, Minoru Itakura, Hideo Sawamoto, Toru Kobayashi, Masatoshi Hasegawa, Hideki Hayashi Programmable At-Speed Array and Functional BIST for Embedded DRAM LSI. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Mitrajit Chatterjee, Dhiraj K. Pradhan A BIST Pattern Generator Design for Near-Perfect Fault Coverage. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF core logic, built-in self-test, synthesis, fault coverage, Linear feedback shift registers, test pattern generation, scan, SOC
37Marcelino B. Santos, José M. Fernandes, Isabel C. Teixeira, João Paulo Teixeira 0001 RTL Test Pattern Generation for High Quality Loosely Deterministic BIST. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Kuen-Jong Lee, Soon-Jyh Chang, Ruei-Shiuan Tzeng A Sigma-Delta Modulation Based BIST Scheme for A/D Converters. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Dong Xiang, Ming-Jing Chen, Jia-Guang Sun, Hideo Fujiwara Improving Test Quality of Scan-Based BIST by Scan Chain Partitioning. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Masaki Hashizume, Teppei Takeda, Hiroyuki Yotsuyanagi, Takeomi Tamesada, Yukiya Miura, Kozo Kinoshita A BIST Circuit for IDDQ Tests. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Kuo-Hsing Cheng, Shu-Yu Jiang, Zong-Shen Chen BIST for clock jitter measurements. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Bai Hong Fang, Nicola Nicolici Power-Constrained Embedded Memory BIST Architecture. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Kedarnath J. Balakrishnan, Nur A. Touba Scan-Based BIST Diagnosis Using an Embedded Processor. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 1843 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license