The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Current with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1960 (21) 1961-1963 (15) 1964-1966 (17) 1967-1970 (15) 1971-1973 (23) 1974 (28) 1975 (26) 1976 (26) 1977 (20) 1978 (50) 1979 (27) 1980 (44) 1981 (30) 1982 (44) 1983 (25) 1984 (50) 1985 (78) 1986 (78) 1987 (94) 1988 (154) 1989 (181) 1990 (238) 1991 (142) 1992 (276) 1993 (279) 1994 (374) 1995 (446) 1996 (501) 1997 (598) 1998 (868) 1999 (1162) 2000 (1554) 2001 (1757) 2002 (2235) 2003 (2589) 2004 (3772) 2005 (4410) 2006 (5210) 2007 (5389) 2008 (5562) 2009 (3626) 2010 (1391) 2011 (841) 2012 (1029) 2013 (1086) 2014 (1197) 2015 (1353) 2016 (1299) 2017 (1307) 2018 (1398) 2019 (1661) 2020 (1610) 2021 (1774) 2022 (1608) 2023 (1711) 2024 (473)
Publication types (Num. hits)
article(16420) book(33) data(20) incollection(695) inproceedings(44396) phdthesis(89) proceedings(119)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 41568 occurrences of 12452 keywords

Results
Found 61775 publication records. Showing 61772 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
31Keivan Navi, Daniel Etiemble From Multi-Valued Current Mode CMOS Circuits to Efficient Voltage Mode CMOS Arithmetic Operators. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multivalued current mode CMOS circuits, voltage mode CMOS arithmetic operators, 3-valued current mode CMOS 2-input BSC adder, CMOS binary 4-2 counter, 1-digit Avizienis-like adder, adders, CMOS integrated circuits, integrated logic circuits, multivalued logic circuits, ternary logic, redundant number representation
30Maged Marghany, Mazlan Hashim, Arthur P. Cracknell Volterra Algorithm for Modelling Sea Surface Current Circulation from Satellite Altimetry Data. Search on Bibsonomy ICCSA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF JASON-1 satellite altimetry data, Volterra model, Lax-Wendorff schemes, Sea surface current, Finite element model
30Dennis J. Ciplickas, Ronald A. Rohrer Expected current distributions for CMOS circuits. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF switching current, expected waveform, mean estimation, static analysis, statistical analysis, CMOS, autocorrelation, random processes, power spectral density
30G. G. Somjen, H. Kager, Wytse J. Wadman Calcium sensitive non-selective cation current promotes seizure-like discharges and spreading depression in a model neuron. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Calcium depletion, Calcium dependent current, Non-specific cation current, Seizure mechanisms, Spreading depression, Epilepsy
30Mustafa Altun, Hakan Kuntman High CMRR current mode operational amplifier with a novel class AB input stage. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF current-mode operational amplifier, current-mode circuits
30Yu-Yau Guo, Jien-Chung Lo Challenges of Built-In Current Sensor Designs. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF voltage regulator, built-in current sensor, Current testing, decoupling capacitor
30Adriano M. Pereira, Tales Cleber Pimenta, Robson L. Moreno, Edgar Charry R., Alberto M. Jorge Design of a Measurement and Interface Integrated Circuit for Characterization of Switched Current Memory Cells. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF switched current, behaviour simulation, voltage-to-current converter
30Josep Rius 0001, Joan Figueras Dynamic characterization of Built-In Current Sensors based on PN junctions: Analysis and experiments. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF I DDQ testability, Built-in Current Sensors, current testing
30Shyang-Tai Su, Rafic Z. Makki, H. Troy Nagle Transient power supply current monitoring - A new test method for CMOS VLSI circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Design for current-testability, drain/source opens, floating gates, shorts, transient power supply current
30A. K. Jain, Mostafa I. H. Abd-El-Barr, R. J. Bolton Current-Mode CMOS Multiple-Valued Logic Function Realization Using a Direct Cover Algorithm. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF current-mode CMOS multiple-valued logic function realization, heuristic based programs, sum of product form expression, HAMLET, Gold heuristic, current mode CMOS, multiple valued logic function realization, direct cover algorithm, logic design, random sample, multivalued logic, CMOS logic circuits, heuristic programming, MVL function
29André Mansano, Andre Vilas Boas, Alfredo Olmos, Jefferson Soldera Zero quiescent current startup circuit with automatic turning-off for low power current and voltage reference. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF power-up, starter, initialization, start-up, current reference
29Srinivas Bodapati, Farid N. Najm High-level current macro-model for power-grid analysis. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF current macro-model, DCT, power grid
29Annie A. M. Cuyt, Walter Krämer, Wolfram Luther, Peter W. Markstein 08021 Summary - Numerical Validation in Current Hardware Architectures. Search on Bibsonomy Numerical Validation in Current Hardware Architectures The full citation details ... 2008 DBLP  BibTeX  RDF
29Wolfram Luther, Annie A. M. Cuyt, Walter Krämer, Peter W. Markstein 08021 Abstracts Collection - Numerical Validation in Current Hardware Architectures. Search on Bibsonomy Numerical Validation in Current Hardware Architectures The full citation details ... 2008 DBLP  BibTeX  RDF
29Amir Pnueli Applications of Temporal Logic to the Specification and Verification of Reactive Systems: A Survey of Current Trends. Search on Bibsonomy Current Trends in Concurrency The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
29Youngsoo Shin, Sewan Heo, Hyung-Ock Kim, Jung Yun Choi Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gate leakage current, nanometer-scale CMOS circuits, supply switching, ground collapse, standard-cell elements, 45 nm, 65 nm, power gating, subthreshold leakage current, 90 nm
29John Johansen, Uday S. Karmarkar, Dhananjay Nanda, Abraham Seidmann Business experience with computer integrated manufacturing. A survey of current strategy and practice. Search on Bibsonomy HICSS (4) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF current strategy, current practice, business experience, US manufacturing firms, adoption policy, manufacturing process characteristics, CIM development process, CIM architecture, plant-wide integration, competitive missions, information technologies, value, strategic planning, computer integrated manufacturing, computer integrated manufacturing, benefits, temporal pattern, commerce
29Shoji Kawahito, Makoto Ishida, Tetsuro Nakamura, Michitaka Kameyama, Tatsuo Higuchi 0001 High-Speed Area-Efficient Multiplier Design Using Multiple-Valued Current-Mode Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF multiple-valued current-mode circuits, high-speed multiplier, carry-propagation-free addition trees, multiple-valued current-mode, carry-propagation-free addition, area efficient design, VLSI, VLSI, tree structure, multiplying circuits, redundant number representations, number representations, multiplier design
29Takeshi Yamakawa, Tsutomu Miki The Current Mode Fuzzy Logic Integrated Circuits Fabricated by the Standard CMOS Process. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF semicustom IC, fuzzy computer, fuzzy integrated circuit, fuzzy logic array, fuzzy logic building block, MOS current mirror, ratioless circuit, Current mode circuit
28Srdjan Dragic, Martin Margala A 1.2V Built-In Architecture for High Frequency On-Line Iddq/delta Iddq Test. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Current Amplifier, On-Line Testing, Iddq, delta Iddq, Current Monitoring
28Manoj Sachdev SeparateIDDQ testing of signal and bias paths in CMOS ICs for defect diagnosis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF junction leakage current, diagnostics, deep sub-micron, I DDQ testing, subthreshold leakage current
27Radu Muresan, Catherine H. Gebotys Current flattening in software and hardware for security applications. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF current flattening, hardware architecture, power analysis attacks
27Fei Li 0003, Lei He 0001, Kewal K. Saluja Estimation of Maximum Power-Up Current. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF current estimation, ATPG algorithm, leakage reduction
27Chintan Patel, Abhishek Singh 0001, Jim Plusquellic Defect Detection Using Quiescent Signal Analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiple current measurements, Quiescent Signal Analysis, IDDQ, current testing, defect-based testing, parametric testing
27Sagar S. Sabade, D. M. H. Walker Use of Multiple IDDQ Test Metrics for Outlier Identification. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Current ratio, neighbor current ratio, outlier identification, spatial correlation, IDDQ testing
27Tsukasa Ike, Takahiro Hanyu, Michitaka Kameyama Fully Source-Coupled Logic Based Multiple-Valued VLSI. Search on Bibsonomy ISMVL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF source-coupled logic, differential-pair circuit, current-source control, radix-2 signed-digit adder, multiple-valued logic, current-mode logic
27Mengmeng Du, Hoi Lee A 2.5MHz, 97%-accuracy on-chip current sensor with dynamically-biased shunt feedback for current-mode switching DC-DC converters. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Qadeer Ahmad Khan, Sanjay Kumar Wadhwa, Kulbhushan Misri Low power startup circuits for voltage and current reference with zero steady state current. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power integrated circuits, startup circuit, voltage reference, current reference
26Jader A. De Lima, Wallace A. Pimenta A current limiter for DC/DC regulators with internal compensation for process and temperature. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF DC/DC converter, LDO, PVT compensation, current limitation, switcher
26Jiri Misurec Non-linear circuits with CCII+/-current conveyors. (PDF / PS) Search on Bibsonomy PWC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF current conveyor, non-linear circuits, rectifiers
26Geoffrey C.-F. Yeap Leakage current in low standby power and high performance devices: trends and challenges. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF gate tunneling leakage, low standby power, off-state sub-threshold leakage, system-on-a-ship (SoC), high performance, CMOS technology, leakage current
26Thorsten Adler, Hiltrud Brocke, Lars Hedrich, Erich Barke A current driven routing and verification methodology for analog applications. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multiterminal signal nets, routing, verification, design methodology, Steiner tree, electromigration, current density
26Javier Argüelles, Salvador Bracho Signature analysis for fault detection of mixed-signal ICs based on dynamic power-supply current. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF mixed-signal IC testing, design for test in mixed-signal IC, built-in current sensors
26Teruhiko Yamada, Tsuyoshi Sasaki On Current Testing of Josephson Logic Circuits Using the 4JL Gate Family. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF 4JL gate, Josephson logic circuit, current testing, defect coverage
26Anne E. Gattiker, Wojciech Maly Current signatures [VLSI circuit testing]. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF VLSI circuit testing, current signature, passive defects, active defects, VLSI, integrated circuit testing, CMOS integrated circuits, I/sub DDQ/ testing
25Liang Zhang 0038, John M. Wilson 0002, Rizwan Bashirullah, Lei Luo 0006, Jian Xu, Paul D. Franzon Driver pre-emphasis techniques for on-chip global buses. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF current sensing, peak current, pre-emphasis, low-power, crosstalk, differential, on-chip bus
25Nikhil Jayakumar, Sunil P. Khatri An ASIC design methodology with predictably low leakage, using leakage-immune standard cells. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF standby current, leakage current, standard cells, MTCMOS
25Hans A. R. Manhaeve, Stefaan Kerckenaere An On-Chip Detection Circuit for the Verification of IC Supply Connections. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF IC connections, connection verification, supply current measurements, on-chip monitor, reliability, DFT, CMOS, Scan, Boundary Scan, IP core, Current monitor
25Takahiro Hanyu, Akira Mochizuki, Michitaka Kameyama Multiple-Valued Arithmetic Integrated Circuits Based on 1.5V-Supply Dual-Rail Source-Coupled Logic. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiple-valued arithmetic integrated circuits, dual-rail source-coupled logic, multiple-valued current-mode MOS integrated circuit, high-speed arithmetic systems, multiple-valued source-coupled logic circuit, dual-rail complementary inputs, pipelined multiplier, 54 bit, 200 MHz, 0.8 mum, 1.5 V, CMOS logic circuits, multiplying circuits, multivalued logic circuits, current-mode logic, pipeline arithmetic
25Claude Thibeault Detection and location of faults and defects using digital signal processing. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sampled current, sampled voltage, quiescent current, parasitic resistive contacts, DSP technique, fault diagnosis, logic testing, integrated circuit testing, fault detection, diagnosis, signal processing, digital signal processing, fault location, fault location, defects, digital integrated circuits, test method
25Seong-Kweon Kim, Shigehito Saigusa, Suguru Kameda, Hiroyuki Nakase, Kazuo Tsubouchi New current attenuator circuit in the current mode FFT LSI for OFDM. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Wei Wang, Yu Hu 0001, Yinhe Han 0001, Xiaowei Li 0001, You-Sheng Zhang Leakage Current Optimization Techniques During Test Based on Don't Care Bits Assignment. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF don’t care bits, minimum leakage vector, leakage power, leakage current
24Michael D. Powell, T. N. Vijaykumar Pipeline muffling and a priori current ramping: architectural techniques to reduce high-frequency inductive noise. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF a priori current ramping, pipeline muffling, leakage, decoupling capacitors, inductive noise
24Rajeev R. Rao, Ashish Srivastava, David T. Blaauw, Dennis Sylvester Statistical estimation of leakage current considering inter- and intra-die process variation. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF variability, Monte Carlo, leakage current
24Montree Kumngern, Fabian Khateb, Tomasz Kulej, Martin Kyselak, Somkiat Lerkvaranyu, Boonying Knobnob Current-Mode Shadow Filter with Single-Input Multiple-Output Using Current-Controlled Current Conveyors with Controlled Current Gain. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
24Mahdi Jalili-Kharaajoo Design of a Speed Drive Based on Fuzzy Logic for a Dual Three-Phase Induction Motor. Search on Bibsonomy Rough Sets and Current Trends in Computing The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Zbigniew Suraj, Piotr Grochowalski The Rough Set Database System: An Overview. Search on Bibsonomy Rough Sets and Current Trends in Computing The full citation details ... 2004 DBLP  DOI  BibTeX  RDF data mining, neural networks, machine learning, pattern recognition, rough sets, evolutionary computing, knowledge discovery, database systems, fuzzy systems
24Witold R. Rudnicki, Henryk Jan Komorowski Feature Synthesis and Extraction for the Construction of Generalized Properties of Amino Acids. Search on Bibsonomy Rough Sets and Current Trends in Computing The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Arkadiusz Wojna Constraint Based Incremental Learning of Classification Rules. Search on Bibsonomy Rough Sets and Current Trends in Computing The full citation details ... 2000 DBLP  DOI  BibTeX  RDF machine learning, rough sets, incremental learning, decision algorithms
24Wojciech Ziarko Rough Sets: Trends, Challenges, and Prospects. Search on Bibsonomy Rough Sets and Current Trends in Computing The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
24Tom R. Burns, Anna Gomolinska Modelling Social Game Systems by Rule Complexes. Search on Bibsonomy Rough Sets and Current Trends in Computing The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Kyung Ki Kim, Yong-Bin Kim, Minsu Choi, Nohpill Park Leakage Minimization Technique for Nanoscale CMOS VLSI. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF nanometer CMOS, cell characterization, gate-tunneling current, input pattern generation, leakage power, subthreshold leakage current
24Mohammad M. Ahmadi, Reza Lotfi A new architecture for rail-to-rail input constant-gm CMOS operational transconductance amplifiers. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF current summation, floating current source, input stage, rail-to-rail, transconductance, operational transconductance amplifier
24Mallika De, Bhabani P. Sinha Testing of a parallel ternary multiplier using I2L logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF integrated injection logic, parallel ternary multiplier, I/sup 2/L logic, multivalued I/sup 2/L circuits, input balanced ternary full adder, precarry generator, multivalued current inputs, multivalued current outputs, generated test sets, skew fault, fault diagnosis, logic testing, design for testability, logic design, digital arithmetic, fault location, stuck-at fault, generalized model, adders, adder, multiplying circuits, multivalued logic circuits, test sets, parallel multiplier
24Walter W. Weber, Adit D. Singh An experimental evaluation of the differential BICS for IDDQ testing. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF differential BICS, CMOS test chips, inter-layer shorts, intra-layer shorts, fault diagnosis, integrated circuit testing, fault coverage, CMOS integrated circuits, opens, built-in current sensor, IC testing, I/sub DDQ/ testing, electric current measurement, electric sensing devices
23P. V. Ratna Kumar, Kaushik Bhattacharyya, Tamal Das, Pradip Mandal Improvement of power efficiency in switched capacitor DC-DC converter by shoot-through current elimination. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF shoot-through current, switched capacitor converter, time interleaving
23Vikas Kaushal, Quentin Diduck, Martin Margala Study of leakage current mechanisms in ballistic deflection transistors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ballistic transport, current leakage mechanism, deflection transistors, silvaco simulation, geometry, monte carlo simulation
23Taufik, Makbul Anwari Modeling and Simulation of Current Ripple in DC Link Connecting Two PWM Inverters Using Matlab/Simulink. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF DC bus Current Ripple, PWM Inverter
23Tsung-Yi Wu, Jr-Luen Tzeng, Kuang-Yao Chen A Fast Probability-Based Algorithm for Leakage Current Reduction Considering Controller Cost. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF MLV controller, probability-based algorithm, leakage current reduction, minimum leakage vector
23Zhiyuan Cai, Shaohua Ma, Yangyang Ge, Erzhi Wang Time Series Prediction of Short Circuit Current for Synchronous Control of Synthetic Test Based on Delay Coordinate Embedding. Search on Bibsonomy ICIC (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF short circuit current, synthetic test, time series prediction, synchronous control
23Stephen C. Terry, Mohammad M. Mojarradi, Benjamin J. Blalock, Jesse A. Richmond Adaptive gate biasing: a new solution for body-driven current mirrors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SOI analog, body driving, current mirrors, ultra-low-voltage analog circuit design
23Sudhakar Bobba, Ibrahim N. Hajj Maximum Current Estimation in Programmable Logic Arrays. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF maximum current, PLA
23Claude Thibeault Increasing Current Testing Resolution. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF current signatures, test, Integrated circuits, Iddq testing
23José Machado da Silva, José Silva Matos, Ian M. Bell, Gaynor E. Taylor Mixed current/voltage observation towards effective testing of analog and mixed-signal circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF mixed current/voltage testing, design for testability, mixed-signal testing, cross-correlation
23Udo Mahlstedt, Jürgen Alt, Matthias Heinitz CURRENT: a test generation system for IDDQ testing. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF CURRENT test system, test generation system, scan-based circuits, library-based fault modeling strategy, intra-gate shorts, inter-gate shorts, gate-drain shorts, deterministic test generator, test set compaction technique, fault diagnosis, logic testing, integrated circuit testing, automatic testing, fault simulator, fault coverage, fault location, CMOS logic circuits, bridging faults, boundary scan testing, I/sub DDQ/ testing, test application time reduction, stuck-on faults, leakage faults
23Chun-Hung Chen, Jacob A. Abraham Generation and evaluation of current and logic tests for switch-level sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF logic tests, test generation, Current tests, I DDQ
23Hector Ouilhet Google Sky Map: using your phone as an interface. Search on Bibsonomy Mobile HCI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Po-Yuan Chen, Chiao-Chen Fang, TingTing Hwang, Hsi-Pin Ma Leakage reduction, delay compensation using partition-based tunable body-biasing techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-power design, process variations, leakage current, Body biasing
23Violet Ka I Pun, Volker Stolz Enforced Dependencies for Active Objects. Search on Bibsonomy Active Object Languages: Current Research Trends The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
23Roberto Casadei, Ferruccio Damiani, Gianluca Torta, Mirko Viroli Actor-Based Designs for Distributed Self-organisation Programming. Search on Bibsonomy Active Object Languages: Current Research Trends The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
23Chinmayi Prabhu Baramashetru, Silvia Lizeth Tapia Tarifa, Olaf Owe Integrating Data Privacy Compliance in Active Object Languages. Search on Bibsonomy Active Object Languages: Current Research Trends The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
23Eduard Kamburjan, Michael Lienhardt Type-Based Verification of Delegated Control in Hybrid Systems. Search on Bibsonomy Active Object Languages: Current Research Trends The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
23Guido Salvaneschi, Pascal Weisenburger Bridging Between Active Objects: Multitier Programming for Distributed, Concurrent Systems. Search on Bibsonomy Active Object Languages: Current Research Trends The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
23Nobuko Yoshida Programming Language Implementations with Multiparty Session Types. Search on Bibsonomy Active Object Languages: Current Research Trends The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
23Jonas Spenger, Paris Carbone, Philipp Haller A Survey of Actor-Like Programming Models for Serverless Computing. Search on Bibsonomy Active Object Languages: Current Research Trends The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
23Reiner Hähnle, Eduard Kamburjan, Marco Scaletta Context-Aware Trace Contracts. Search on Bibsonomy Active Object Languages: Current Research Trends The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
23Elias Castegren, Tobias Wrigstad Encore: Coda. Search on Bibsonomy Active Object Languages: Current Research Trends The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
23Martin Andrieux, Ludovic Henrio, Gabriel Radanne Active Objects Based on Algebraic Effects. Search on Bibsonomy Active Object Languages: Current Research Trends The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
23Paul Kobialka, Rudolf Schlatte, Gunnar Rye Bergersen, Einar Broch Johnsen, Silvia Lizeth Tapia Tarifa Simulating User Journeys with Active Objects. Search on Bibsonomy Active Object Languages: Current Research Trends The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
23Lorenzo Bacchiani, Mario Bravetti, Saverio Giallorenzo, Jacopo Mauro, Gianluigi Zavattaro Integrated Timed Architectural Modeling/Execution Language. Search on Bibsonomy Active Object Languages: Current Research Trends The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
23Ramtin Khosravi, Ehsan Khamespanah, Fatemeh Ghassemi, Marjan Sirjani Actors Upgraded for Variability, Adaptability, and Determinism. Search on Bibsonomy Active Object Languages: Current Research Trends The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
23John I. Tait, Barou Diallo Future Patent Search. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Stephen Tomlinson, Bruce Hedin Measuring Effectiveness in the TREC Legal Track. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Hidetsugu Nanba, Hideaki Kamaya, Toshiyuki Takezawa, Manabu Okumura, Akihiro Shinmori, Hidekazu Tanigawa Automatic Translation of Scholarly Terms into Patent Terms. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Cornelis H. A. Koster, Jean G. Beney, Suzan Verberne, Merijn Vogel Phrase-Based Document Categorization. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Steffen Koch 0001, Harald Bosch From Static Textual Display of Patents to Graphical Interactions. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Hany Azzam, Iraklis A. Klampanos, Thomas Roelleke Large-Scale Logical Retrieval: Technology for Semantic Modelling of Patent Search. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Richard Bache Measuring and Improving Access to the Corpus. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Veronika Stefanov, John I. Tait An Introduction to Contemporary Search Technology. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Florina Piroi, Veronika Zenz Evaluating Information Retrieval in the Intellectual Property Domain: The Clef-Ip Campaign. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Ted Briscoe, Karl Harrison, Andrew Naish, Andy Parker, Marek Rei, Advaith Siddharthan, David Sinclair, Mark Slater, Rebecca Watson Intelligent Information Access from Scientific Papers. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Christopher G. Harris 0001, Robert Arens, Padmini Srinivasan Using Classification Code Hierarchies for Patent Prior Art Searches. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Hamish Cunningham, Valentin Tablan, Ian Roberts, Mark A. Greenwood, Niraj Aswani Information Extraction and Semantic Annotation for Multi-Paradigm Information Management. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Ben Carterette, Ellen M. Voorhees Overview of Information Retrieval Evaluation. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Andreas Pesenhofer, Helmut Berger, Michael Dittenbach Offering New Insights by Harmonizing Patents, Taxonomies and Linked Data. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Mihai Lupu, Jimmy X. Huang, Jianhan Zhu Evaluation of Chemical Information Retrieval Tools. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Karim Benzineb, Jacques Guyot Automated Patent Classification. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Peter Parapatics, Michael Dittenbach Patent Claim Decomposition for Improved Information Extraction. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Anthony J. Trippe, Ian Ruthven Evaluating Real Patent Retrieval Effectiveness. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
23Doreen Alberts, Cynthia Barcelon Yang, Denise Fobare-DePonio, Ken Koubek, Suzanne Robins, Matthew Rodgers, Edlyn Simmons, Dominic DeMarco Introduction to Patent Searching. Search on Bibsonomy Current Challenges in Patent Information Retrieval The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 61772 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license