The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Testability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1980 (18) 1981-1982 (19) 1983-1984 (27) 1985 (18) 1986 (28) 1987-1988 (53) 1989 (39) 1990 (62) 1991 (57) 1992 (64) 1993 (85) 1994 (76) 1995 (135) 1996 (84) 1997 (92) 1998 (95) 1999 (99) 2000 (102) 2001 (68) 2002 (91) 2003 (78) 2004 (82) 2005 (87) 2006 (75) 2007 (79) 2008 (87) 2009 (49) 2010 (42) 2011 (34) 2012 (28) 2013 (17) 2014 (28) 2015 (21) 2016 (18) 2017-2018 (35) 2019 (29) 2020 (26) 2021 (29) 2022 (25) 2023 (25) 2024 (4)
Publication types (Num. hits)
article(783) book(2) incollection(3) inproceedings(1401) phdthesis(21)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2833 occurrences of 878 keywords

Results
Found 2210 publication records. Showing 2210 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
44Jos van Sas, Chay Nowé, Didier Pollet, Francky Catthoor, Paul Vanoostende, Hugo De Man Design of a C-testable booth multiplier using a realistic fault model. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF test generation, design for testability, fault modelling, Array multipliers, C-testability
44Birgitta Lindström, A. Jefferson Offutt, Sten F. Andler Testability of Dynamic Real-Time Systems: An Empirical Study of Constrained Execution Environment Implications. Search on Bibsonomy ICST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
44Liangli Ma, Houxiang Wang, Yongjie Li Construct Metadata Model based on Coupling Information to Increase the Testability of Component-based Software. Search on Bibsonomy AICCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Valentina Ciriani, Anna Bernasconi 0001, Rolf Drechsler Testability of SPP Three-Level Logic Networks in Static Fault Models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Saurabh Chaudhury, Santanu Chattopadhyay, J. Srinivasa Rao Synthesis of Finite State Machines for Low Power and Testability. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Shyue-Kung Lu, Mau-Jung Lu Enhancing Delay Fault Testability for FIR Filters Based on Realistic Sequential Cell Fault Model. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Magiel Bruntink, Arie van Deursen Predicting Class Testability using Object-Oriented Metrics. Search on Bibsonomy SCAM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Petros Oikonomakos, Mark Zwolinski, Bashir M. Al-Hashimi Versatile High-Level Synthesis of Self-Checking Datapaths Using an On-Line Testability Metric. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
44Andrzej Krasniewski Evaluation of Testability of Path Delay Faults for User-Configured Programmable Devices. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
44Irith Pomeranz, Sudhakar M. Reddy Resynthesis of combinational logic circuits for improved path delay fault testability using comparison units. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
44Srivaths Ravi 0001, Niraj K. Jha Synthesis of System-on-a-chip for Testability. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
44Samir Boubezari, Eduard Cerny, Bozena Kaminska, Benoit Nadeau-Dostie Testability analysis and test-point insertion in RTL VHDL specifications for scan-based BIST. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
44Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha A design-for-testability technique for register-transfer level circuits using control/data flow extraction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
44Laurence Tianruo Yang, Zebo Peng An Improved Register-Transfer Level Functional Partitioning Approach for Testability. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
44Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO: regular expression based high-level testability analysis and optimization. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
44Shih-Chieh Chang, Shi-Sen Chang, Wen-Ben Jone, Chien-Chung Tsai A novel combinational testability analysis by considering signal correlation. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
44R. D. (Shawn) Blanton, John P. Hayes Testability Properties of Divergent Trees. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF regular circuits, interactive logic arrays, structured circuits, test generation, fault detection, fault modeling
44Marie-Lise Flottes, R. Pires, Bruno Rouzeyre Analyzing testability from behavioral to RT level. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
44Kowen Lai, Christos A. Papachristou BIST Testability Enhancement of System Level Circuits : Experience with An Industrial Design. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
44Aiman H. El-Maleh, Janusz Rajski Delay-fault testability preservation of the concurrent decomposition and factorization transformations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
44Miodrag Potkonjak, Sujit Dey, Rabindra K. Roy Considering testability at behavioral level: use of transformations for partial scan cost minimization under timing and area constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
44Kurt Keutzer, Luciano Lavagno, Alberto L. Sangiovanni-Vincentelli Synthesis for testability techniques for asynchronous circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
44Chung-Hsing Chen, Daniel G. Saab A novel behavioral testability measure. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
44John W. Sheppard, William R. Simpson Applying Testability Analysis for Integrated Diagnostics. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
43Chauchin Su, Yue-Tsang Chen, Shyh-Jye Jou Intrinsic response for analog module testing using an analog testability bus. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF analog testability bus, intrinsic response, design for testability, analog testing, boundary scan
43Marie-Lise Flottes, Christian Landrault, A. Petitqueux Design for sequential testability: an internal state reseeding approach for 100 % fault coverage. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF sequential testability, internal state reseeding, observation points, minimum DFT insertion, non-scan approach, fault efficiency, 100 percent, fault diagnosis, logic testing, controllability, controllability, design for testability, logic design, sequential circuits, automatic test pattern generation, ATPG, observability, fault coverage, flip-flops, at-speed testing, benchmark circuits, CPU time, partial reset
43Chauchin Su, Yue-Tsang Chen, Shyh-Jye Jou, Yuan-Tzu Ting Metrology for analog module testing using analog testability bus. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF analog module, analog module testing, multiple instantiation, test response analysis, test waveform, testability bus, design for testability
43Karim Arabi, Bozena Kaminska, Stephen K. Sunter Design for testability of integrated operational amplifiers using oscillation-test strategy. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF integrated operational amplifiers, oscillation-test strategy, vector-less test solution, test mode, oscillation frequency, nominal tolerance, digital circuitry, high fault coverage, simulation, design for testability, design for testability, oscillators, operational amplifiers, Monte Carlo analysis
43Tapan J. Chakraborty, Vishwani D. Agrawal Design for high-speed testability of stuck-at faults. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF design for high-speed testability, stuck-at fault detection, signal transition, timing hazard, multivalue algebra, dh-robust test, sequential feedback, reconvergent fanout, cycle-free sequential circuit, fault diagnosis, logic testing, delays, design for testability, logic design, sequential circuits, partial scan, test generation algorithm, critical path delay
43S. Lavabre, Yves Bertrand, Michel Renovell, Christian Landrault Test configurations to enhance the testability of sequential circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF shift operation, scan register, test operation, modified flip-flops, ISCAS89 benchmarks, multiconfiguration, triconfiguration, dynamic generation, logic testing, controllability, design for testability, design for testability, sequential circuits, sequential circuits, observability, observability, DFT, fault coverage, flip-flops, minimisation, scan designs, test application time, test vector
43Shiyi Xu, Gercy P. Dias Testability forecasting for sequential circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testability forecasting, transitive closure algorithm, number of test patterns, computational complexity, fault diagnosis, logic testing, logic testing, statistical analysis, design for testability, sequential circuits, sequential circuits, logic CAD, fault coverage, regression models, automatic test software, CPU time, test generation algorithms
43Mahsa Vahidi, Alex Orailoglu Testability metrics for synthesis of self-testable designs and effective test plans. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testability metrics, self-testable designs, effective test plans, unified metrics, synthesis phases, VLSI, VLSI, built-in self test, high level synthesis, high level synthesis, design for testability, BIST, DFT, logic CAD, integrated circuit design, benchmark designs
42Mark Harman, André Baresel, David W. Binkley, Robert M. Hierons, Lin Hu 0005, Bogdan Korel, Phil McMinn, Marc Roper Testability Transformation - Program Transformation to Improve Testability. Search on Bibsonomy Formal Methods and Testing The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Bo Yang 0010, Kaijie Wu 0001, Ramesh Karri Secure scan: a design-for-test architecture for crypto chips. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF crypto hardware, scan-based DFT, security, testability
41Jien-Chung Lo Analysis of a BICS-Only Concurrent Error Detection Method. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF unsafe probability, reliability, fault coverage, testability, concurrent error detection, built-in current sensors, operating speed
41Krishnendu Chakrabarty, John P. Hayes Balance testing and balance-testable design of logic circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF built-in self testing, design for testability, fault detection, fault coverage, testing methods
39Tomas Pecenka, Lukás Sekanina, Zdenek Kotásek Evolution of synthetic RTL benchmark circuits with predefined testability. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF evolvable hardware, testability analysis, Benchmark circuit
39Liang Zhao A new approach for software testability analysis. Search on Bibsonomy ICSE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF software testability, measure, testing effectiveness, beta distribution
39Tomokazu Yoneda, Hisakazu Takakuwa, Hideo Fujiwara Power-Constrained Area and Time Co-Optimization for SoCs Based on Consecutive Testability. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF system-on-chip, power consumption, test scheduling, test access mechanism, consecutive testability
39Hideyuki Ichihara, Tomoo Inoue, Naoki Okamoto, Toshinori Hosokawa, Hideo Fujiwara An Effective Design for Hierarchical Test Generation Based on Strong Testability. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Hierarchical test generation, strong testability, datapath, test plan
39Katherine Shu-Min Li, Chung-Len Lee 0001, Yao-Wen Chang, Chauchin Su, Jwu E. Chen Multilevel full-chip routing with testability and yield enhancement. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multilevel routing, yield, testability
39Avik Chakraborty Synthesis of Reversible Circuits for Testing with Universal Test Set and C-Testability of Reversible Iterative Logic Arrays. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Fault models, Testability, Design for test, Iterative logic arrays, Universal test sets, Reversible circuits
39Jiang Chau Wang, Paulo Sérgio Cardoso, Jose Artur Quilici González, Marius Strum, Ricardo Pires Datapath BIST Insertion Using Pre-Characterized Area and Testability Data. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF test library, RTL architecture, pre-computed testability, self-test
39Syed Mahfuzul Aziz, S. J. Carr On C-Testability of Carry Free Dividers. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Carry-free, C-Testability, Divider, Radix-2
39Sudipto Ghosh Towards Measurement of Testability of Concurrent Object-Oriented Programs Using Fault Insertion: A Preliminary Investigation. Search on Bibsonomy SCAM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Java, software testing, Concurrent programs, testability, faults, mutation analysis, test adequacy
39Vincent Beroulle, Yves Bertrand, Laurent Latorre, Pascal Nouet Test and Testability of a Monolithic MEMS for Magnetic Field Sensing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF design for testability, production testing, low-cost testing, MEMS testing
39Mohammad A. Naal, Emmanuel Simeu High-Level Synthesis Methodology for On-Line Testability Optimization. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF On-line testability, Function factorization, idle-time operation, High-level synthesis
39Fabrizio Ferrandi, G. Fornara, Donatella Sciuto, G. Ferrara, Franco Fummi Testability Alternatives Exploration through Functional Testing. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF High-level Testability Analysis, Behavioral Test Generation, VHDL, ATPG
39Irith Pomeranz, Sudhakar M. Reddy Design-for-Testability for Synchronous Sequential Circuits using Locally Available Lines. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF synchronization, design-for-testability, synchronous sequential circuits
39Angela Krstic, Kwang-Ting Cheng Resynthesis of Combinational Circuits for Path Count Reduction and for Path Delay Fault Testability. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF resynthesis for testability, timing defects, delay testing, path delay faults, VLSI testing
39Marie-Lise Flottes, D. Hammad, Bruno Rouzeyre Improving Testability of Non-Scan Designs during Behavioral Synthesis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF behavioral level, high level synthesis, design for testability
39Michael G. McNamer, H. Troy Nagle ITA: An algorithm for IDDQ testability analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF integrated circuit testing, testability analysis, I DDQ testing, leakage faults
39C. P. Ravikumar, Hemant Joshi HISCOAP: a hierarchical testability analysis tool. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF HISCOAP, hierarchical testability analysis tool, SCOAP measure, gate-level netlist, SCOAP expression diagrams, VLSI, logic testing, controllability, controllability, sequential circuits, sequential circuits, combinational circuits, combinational circuits, observability, observability, circuit analysis computing, integrated logic circuits, VLSI circuits, functional modules, stuck at fault model
39Abhijit Chatterjee, Jacob A. Abraham Test generation, design-for-testability and built-in self-test for arithmetic units based on graph labeling. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF Built-in self-test, test generation, design-for-testability, iterative logic array, pseudo-exhaustive test
39Roy S. Freedman Testability of Software Components. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF domain testability, domain-testable program, input-output inconsistencies, small test sets, test outputs, domain-testable specification, nondomain-testable specification, formal specification, controllability, software components, program testing, observability, program specifications
38Michiko Inoue, Takeshi Higashimura, Kenji Noda, Toshimitsu Masuzawa, Hideo Fujiwara A High-Level Synthesis Method for Weakly Testable Data Paths. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37Dimitris Gizopoulos, Mihalis Psarakis, Antonis M. Paschalis Robust Sequential Fault Testing of Iterative Logic Arrays. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Sequential Faults, Linear-testability, Fault Modeling, Automatic Test Generation, C-testability, Iterative Logic Arrays
37Richard M. Chou, Kewal K. Saluja Sequential Circuit Testing: From DFT to SFT. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF design-for-testability methods, SFT techniques, large sequential circuits, logic testing, automatic test pattern generation, ATPG, synthesis-for-testability, sequential circuit testing, DFT techniques
37Michel Renovell, Florence Azaïs, Yves Bertrand A design-for-test technique for multistage analog circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF design-for-test technique, multistage analog circuits, DFT approach, op-amp-based modules, testability resources, transparent paths, external I/O, local I/O, test mode, on-chip digital resources, analog response penalty, controllability, controllability, integrated circuit testing, design for testability, observability, observability, mixed-signal circuits, mixed analogue-digital integrated circuits, test management, production testing
37Arun Balakrishnan, Srimat T. Chakradhar Software transformations for sequential test generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential test generation, high fault coverage test sets, testability properties, inverse mapping, software engineering, logic testing, timing, design for testability, sequential circuits, sequential circuits, DFT, software model, software transformations
37Dimitris Gizopoulos, Dimitris Nikolos, Antonis M. Paschalis Testing combinational iterative logic arrays for realistic faults. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF combinational iterative logic arrays, realistic faults, two-dimensional logic arrays, one-dimensional logic arrays, n-pattern tests, linear-testability, efficient test set, ILA, VLSI, fault diagnosis, logic testing, integrated circuit testing, combinational circuits, cellular arrays, logic arrays, C-testability, cell fault model
36Xiaojun Ma, Jing Huang 0001, Cecilia Metra, Fabrizio Lombardi Reversible Gates and Testability of One Dimensional Arrays of Molecular QCA. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Testing, Emerging technologies, Reversible computing, QCA
36Jani Metsä, Mika Katara, Tommi Mikkonen Comparing Aspects with Conventional Techniques for Increasing Testability. Search on Bibsonomy ICST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF testing, aspects
36Thao Nguyen, Navid Rezvani Printed Circuit Board Assembly Test Process and Design for Testability. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Katherine Shu-Min Li, Yao-Wen Chang, Chung-Len Lee 0001, Chauchin Su, Jwu E. Chen Multilevel Full-Chip Routing With Testability and Yield Enhancement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Richard Ruzicka, Josef Strnadel Test Controller Synthesis Constrained by Circuit Testability Analysis. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Anthony Chung, Tao Huang 0019 Two Approaches for the Improvement in Testability of Communication Protocols. Search on Bibsonomy ACIS-ICIS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Piotr Patronik Delay Testability Properties of Circuits Implementing Threshold and Symmetric Functions. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Junhao Shi, Görschwin Fey, Rolf Drechsler Bridging fault testability of BDD circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Swaroop Ghosh, Swarup Bhunia, Kaushik Roy 0001 Shannon Expansion Based Supply-Gated Logic for Improved Power and Testability. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Yuki Yoshikawa, Satoshi Ohtake, Michiko Inoue, Hideo Fujiwara Design for Testability Based on Single-Port-Change Delay Testing for Data Paths. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Tomas Pecenka, Zdenek Kotásek, Lukás Sekanina, Josef Strnadel Automatic Discovery of RTL Benchmark Circuits with Predefined Testability Properties. Search on Bibsonomy Evolvable Hardware The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Mark Harman, Lin Hu 0005, Robert M. Hierons, Joachim Wegener, Harmen Sthamer, André Baresel, Marc Roper Testability Transformation. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF transformation, Evolutionary testing, search-based software engineering, automated test data generation
36Görschwin Fey, Junhao Shi, Rolf Drechsler BDD Circuit Optimization for Path Delay Fault Testability. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Magdalena Slawiñska Testability of Distributed Objects. Search on Bibsonomy PPAM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Benoit Baudry, Yves Le Traon, Gerson Sunyé Testability Analysis of a UML Class Diagram. Search on Bibsonomy IEEE METRICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Josef Strnadel, Zdenek Kotásek Testability Improvements Based on the Combination of Analytical and Evolutionary Approaches at RT Level. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36A. N. Trahtman A Package TESTAS for Checking Some Kinds of Testability. Search on Bibsonomy CIAA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Shyue-Kung Lu, Chien-Hung Yeh Enhancing Delay Fault Testability for Iterative Logic Array. Search on Bibsonomy PRDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Dilip K. Bhavsar Synchronizing the IEEE 1149.1 Test Access Port for Chip-Level Testability. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Yves Le Traon, Farid Ouabdesselam, Chantal Robach Analyzing Testability on Data Flow Designs. Search on Bibsonomy ISSRE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Sukalyan Mukherjee Design for Testability to Achieve High Test Coverage - A Case Study. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Janusz Sosnowski, Tomasz Wabia, Tomasz Bech Path Delay Fault Testability Analysis. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Saghir A. Shaikh, Jitendra Khare, Hans T. Heineken Manufacturability and Testability Oriented Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Synthesis Optimization, CAD, System on Chip, Design for Manufacturability, High Level Test Synthesis
36Kent L. Einspahr, Shashank K. Mehta, Sharad C. Seth A synthesis for testability scheme for finite state machines using clock control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha Hierarchical test generation and design for testability methods for ASPPs and ASIPs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Marie-Lise Flottes, R. Pires, Bruno Rouzeyre Alleviating DFT Cost Using Testability Driven HLS. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36Dilip K. Bhavsar, David R. Akeson, Michael K. Gowan, Daniel B. Jackson Testability access of the high speed test features in the Alpha 21264 microprocessor. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36Marcello Dalpasso, Michele Favalli A method for increasing the IDDQ testability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
36Sujit Dey, Miodrag Potkonjak Nonscan design-for-testability techniques using RT-level design information. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
36Yves Le Traon, Chantal Robach Testability Measurements for Data Flow Designs. Search on Bibsonomy IEEE METRICS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
36Rolf Drechsler, Harry Hengster, Horst Schäfer, Joachim Hartmann, Bernd Becker 0001 Testability of 2-level AND/EXOR circuits. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
36Jin-Cherng Lin, Szu-Wen Lin, Louis Huang An Approach to Software Testability Measurement. Search on Bibsonomy APSEC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
36Silvia Chiusano, Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda Guaranteeing Testability in Re-encoding for Low Power. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Initialization sequence, Genetic Algorithm, ATPG
36Priyank Kalla, Maciej J. Ciesielski Testability of Sequential Circuits with Multi-Cycle False Path. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
36Ashutosh Mujumdar, Rajiv Jain, Kewal K. Saluja Incorporating performance and testability constraints during binding in high-level synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
36Chung-Hsing Chen, Tanay Karnik, Daniel G. Saab Structural and behavioral synthesis for testability techniques. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
36Hassan A. Farhat, Steven G. From A beta model for estimating the testability and coverage distributions of a VLSI circuit. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
36João Paulo Teixeira 0001, Isabel C. Teixeira, Carlos F. Beltrán Almeida, Fernando M. Gonçalves, Júlio Gonçalves, R. Crespo A strategy for testability enhancement at layout level. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
36Catherine H. Gebotys, Mohamed I. Elmasry VLSI Design Synthesis with Testability. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
Displaying result #101 - #200 of 2210 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license