The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for scan with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1969 (15) 1970-1976 (15) 1977-1979 (18) 1980-1981 (16) 1982-1983 (15) 1984-1985 (22) 1986 (19) 1987 (23) 1988 (26) 1989 (42) 1990 (48) 1991 (58) 1992 (71) 1993 (76) 1994 (82) 1995 (119) 1996 (105) 1997 (124) 1998 (148) 1999 (156) 2000 (135) 2001 (171) 2002 (262) 2003 (287) 2004 (377) 2005 (394) 2006 (452) 2007 (487) 2008 (471) 2009 (338) 2010 (217) 2011 (183) 2012 (184) 2013 (186) 2014 (202) 2015 (173) 2016 (181) 2017 (238) 2018 (236) 2019 (222) 2020 (235) 2021 (287) 2022 (268) 2023 (286) 2024 (69)
Publication types (Num. hits)
article(2768) book(2) data(1) incollection(30) inproceedings(4908) phdthesis(28) proceedings(2)
Venues (Conferences, Journals, ...)
ITC(391) CoRR(262) VTS(210) IEEE Trans. Comput. Aided Des....(199) Asian Test Symposium(178) J. Electron. Test.(149) DATE(95) IEEE Trans. Very Large Scale I...(87) ATS(85) IROS(83) ICRA(80) DAC(79) VLSI Design(72) IEEE Trans. Computers(69) Sensors(69) DFT(68) More (+10 of total 1786)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3962 occurrences of 1873 keywords

Results
Found 7741 publication records. Showing 7739 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
44Chunsheng Liu, Krishnendu Chakrabarty A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
44Irith Pomeranz On the use of random limited-scan to improve at-speed randompattern testing of scan circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
43Thomas W. Williams, Kenneth P. Parker Design for Testability - A Survey. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF Built-In Logic Block Observation (BILBO), Level Sensitive Scan Design (LSSD), Random Access Scan, Scan/Set Logic, testing, test generation, self test, Signature Analysis, Scan Path
42Jacob Savir Module level weighted random patterns. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF module level self-test architecture, pseudorandom pattern generator, universal weighting generator, scan latch, near-optimal weight, signal pins, weight control function, self-test time, logic testing, probability, integrated circuit testing, automatic testing, multivalued logic circuits, boundary scan testing, scan test, weighted random patterns, multiple input signature register
41Massimo Bilancia, Silvestro Montrone, Paola Perchinunno A Model-Based Scan Statistics for Detecting Geographical Clustering of Disease. Search on Bibsonomy ICCSA (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Disease clustering, Model-based scan statistics, BYM model, Lung cancer mortality, Spatial scan statistics
41Yuri Dotsenko, Naga K. Govindaraju, Peter-Pike J. Sloan, Charles Boyd, John Manferdelli Fast scan algorithms on graphics processors. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF all-prefix-sum, segmented scan, parallel algorithm, GPU, GPGPU, scan, HPC, many-core
41King Leong Lee, Nadir Z. Basturkmen, Srikanth Venkataraman Diagnosis of Scan Clock Failures. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scan clock, diagnosis, scan chain
41Young-Ho Choi, Se-Young Oh Map building through pseudo dense scan matching using visual sonar data. Search on Bibsonomy Auton. Robots The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Robust visual sonar, Pseudo dense scan, Adaptive scan matching, Trajectory correction
41Ahmad A. Al-Yamani, Narendra Devta-Prasanna, Arun Gunda Systematic Scan Reconfiguration. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF systematic scan reconfiguration, test data compression technique, single-stuck fault test sets, transition fault test sets, scan chains
41Arun Balakrishnan, Srimat T. Chakradhar Retiming with logic duplication transformation: theory and an application to partial scan. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF logic duplication transformation, partial scan application, RLD configurations, RLD transformation, testability metrics, scan flip-flops, sequential circuit design, linear programming, timing, integer programming, logic design, sequential circuits, logic CAD, polynomial time algorithm, integer linear program, flip-flops, retiming, integrated circuit design, circuit CAD, integrated logic circuits, objective function
40Mohammad Hosseinabady, Shervin Sharifi, Fabrizio Lombardi, Zainalabedin Navabi A Selective Trigger Scan Architecture for VLSI Testing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Delay Testing, Test Compression, Test Application Time, Scan Test, Test Data Volume, Test Power
40Chao-Wen Tzeng, Jheng-Syun Yang, Shi-Yu Huang A versatile paradigm for scan chain diagnosis of complex faults using signal processing techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF profiling, design for testability, Diagnosis, fault, scan chain
40Anshuman Chandra, Rohit Kapur Bounded Adjacent Fill for Low Capture Power Scan Testing. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF capture power, random fill, shift power, test, low power, scan
40Arno Wagner, Thomas Dübendorfer, Roman Hiestand, Christoph Göldi, Bernhard Plattner A Fast Worm Scan Detection Tool for VPN Congestion Avoidance. Search on Bibsonomy DIMVA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Bro, detection, worm, Scan, gateway, VPN
40Christian Wimmer, Hanspeter Mössenböck Optimized interval splitting in a linear scan register allocator. Search on Bibsonomy VEE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF linear scan, java, optimization, compilers, graph-coloring, register allocation, just-in-time compilation
40Koppolu Sasidhar, Abhijit Chatterjee, Yervant Zorian Boundary Scan-Based Relay Wave Propagation Test of Arrays of Identical Structures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF relay propagation test, multiprocessor systems, Boundary scan
40Sambhunath Biswas Hilbert Scan and Image Compression. Search on Bibsonomy ICPR The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Hilbert scan, Hilbert image, Bezier-Bernstein polynomial, Huffman coding
40Ranganathan Sankaralingam, Rama Rao Oruganti, Nur A. Touba Static Compaction Techniques to Control Scan Vector Power Dissipation. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Static Compaction, Test Vector Compaction, Heat Minimization, Low Power, Built-In Self-Test, Design-for-Testability, Integrated Circuits, switching activity, Scan Chains, Peak power, Embedded Cores, Digital Testing
40Omri Traub, Glenn H. Holloway, Michael D. Smith 0001 Quality and Speed in Linear-scan Register Allocation. Search on Bibsonomy PLDI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF binpacking, global register allocation, linear scan, graph coloring
40Yuejian Wu Diagnosis of Scan Chain Failures. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF scan chain designs, fault diagnosis, design for testability
40Michael S. Hsiao, Gurjeet S. Saund, Elizabeth M. Rudnick, Janak H. Patel Partial Scan Selection Based on Dynamic Reachability and Observability Information. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF test generation, DFT, partial scan
40Wang-Dauh Tseng, Kuochen Wang Testable Design and Testing of MCMs Based on Multifrequency Scan. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF boundary scan architecture, multifrequency test, smart substrate, technology mixed, design for testability, VHDL, multichip module
40A. L. Narasimha Reddy, James C. Wyllie, Ravi Wijayaratne Disk scheduling in a multimedia I/O system. Search on Bibsonomy ACM Trans. Multim. Comput. Commun. Appl. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF I/O systems, performance evaluation, real-time, multimedia applications, disk scheduling
40Ozgur Sinanoglu, Alex Orailoglu Aggressive Test Power Reduction Through Test Stimuli Transformation. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Nadir Z. Basturkmen, Sudhakar M. Reddy, Irith Pomeranz A Low Power Pseudo-Random BIST Technique. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Fan Yang 0060, Sreejit Chakravarty, Narendra Devta-Prasanna, Sudhakar M. Reddy, Irith Pomeranz Detectability of internal bridging faults in scan chains. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
40Anshuman Chandra, Yasunari Kanzawa, Rohit Kapur Proactive management of X's in scan chains for compression. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
40Ho Fai Ko, Nicola Nicolici Automated Scan Chain Division for Reducing Shift and Capture Power During Broadside At-Speed Test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Ozgur Sinanoglu Scan Architecture With Align-Encode. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Vandana Pursnani Janeja, Vijayalakshmi Atluri Random Walks to Identify Anomalous Free-Form Spatial Scan Windows. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Hongyou Bian, Weijun Liu, Lun Li, Jinting Xu, Fengjie Tian Research on a New Kind of Adaptive Parallel Scan Method in Laser Metal Deposition Shaping. Search on Bibsonomy CSSE (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Kasper Pedersen Dobrushin Conditions for Systematic Scan with Block Dynamics. Search on Bibsonomy MFCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Shubhabrata Sengupta, Mark J. Harris, Yao Zhang 0001, John D. Owens Scan primitives for GPU computing. Search on Bibsonomy Graphics Hardware The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Pattarasinee Bhattarakosol, Vasin Suttichaya Multiple Equivalent Scale Scan: An Enhancing Technique for Malware Detection. Search on Bibsonomy ICSNC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Vladimir Pekar, Daniel Bystrov, Harald S. Heese, Sebastian P. M. Dries, Stefan Schmidt 0001, Rüdiger Grewer, Chiel J. den Harder, René C. Bergmans, Arjan W. Simonetti, Arianne van Muiswinkel Automated Planning of Scan Geometries in Spine MRI Scans. Search on Bibsonomy MICCAI (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Lifeng He, Yuyan Chao, Kenji Suzuki 0001 A Run-Based Two-Scan Labeling Algorithm. Search on Bibsonomy ICIAR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF label equivalence, run data, pattern recognition, connected components, linear-time algorithm, Labeling algorithm
40Nan-Cheng Lai, Sying-Jyan Wang, Y.-H. Fu Low-Power BIST With a Smoother and Scan-Chain Reorder Under Optimal Cluster Size. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Hao Yang 0004, James Shu, Xiaoqiao Meng, Songwu Lu SCAN: self-organized network-layer security in mobile ad hoc networks. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Jeremy Lee, Mohammad Tehranipoor, Jim Plusquellic A Low-Cost Solution for Protecting IPs Against Scan-Based Side-Channel Attacks. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Swarup Bhunia, Hamid Mahmoodi-Meimand, Debjyoti Ghosh, Saibal Mukhopadhyay, Kaushik Roy 0001 Low-power scan design using first-level supply gating. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Shantanu Gupta, Tarang Vaish, Santanu Chattopadhyay Flip-flop chaining architecture for power-efficient scan during test application. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Jinkyu Lee 0005, Nur A. Touba Low Power BIST Based on Scan Partitioning. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Yu Huang 0005, Wu-Tung Cheng, Cheng-Ju Hsieh, Huan-Yung Tseng, Alou Huang, Yu-Ting Hung Intermittent Scan Chain Fault Diagnosis Based on Signal Probability Analysis. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Ozgur Sinanoglu, Alex Orailoglu Scan Power Minimization through Stimulus and Response Transformations. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Shalini Ghosh, Eric W. MacDonald, Sugato Basu, Nur A. Touba Low-power weighted pseudo-random BIST using special scan cells. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF weighted pseudo-random testing, low power, built-in self-test
40Ramyanshu Datta, Ravi Gupta, Antony Sebastine, Jacob A. Abraham, Manuel A. d'Abreu Tri-Scan: A Novel DFT Technique for CMOS Path Delay Fault Testing. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Luca Schiano, Yong-Bin Kim, Fabrizio Lombardi Scan Test of IP Cores in an ATE Environment. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Anshuman Chandra, Krishnendu Chakrabarty A unified approach to reduce SOC test data volume, scan power and testing time. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Ching-Hwa Cheng Design Scan Test Strategy for Single Phase Dynamic Circuits. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Yu Huang 0005, Wu-Tung Cheng, Sudhakar M. Reddy, Cheng-Ju Hsieh, Yu-Ting Hung Statistical Diagnosis for Intermittent Scan Chain Hold-Time Fault. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Ranganathan Sankaralingam, Nur A. Touba Inserting Test Points to Control Peak Power During Scan Testing. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Ranganathan Sankaralingam, Nur A. Touba Reducing Test Power During Test Using Programmable Scan Chain Disable. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Ondrej Novák, Jiri Nosek Test-per-Clock Testing of the Circuits with Scan. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40Ranganathan Sankaralingam, Nur A. Touba, Bahram Pouya Reducing Power Dissipation during Test Using Scan Chain Disable. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40Pamela Renton, Michael A. Greenspan, Hoda A. ElMaraghy, Hassen Zghal Plan-N-Scan: A Robotic System for Collision-Free Autonomous Exploration and Workspace Mapping. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF autonomous exploration, laser-based scanning, gaze planning, voxel maps, robots, collision detection, motion planning
40Junichi Hirase, Naoki Shindou, Kouji Akahori Scan Chain Diagnosis Using IDDQ Current Measurement. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
40Patrick Girard 0001, Christian Landrault, V. Moreda, Serge Pravossoudovitch, Arnaud Virazel A BIST Structure to Test Delay Faults in a Scan Environment. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
40José M. Miranda A BIST and Boundary-Scan Economics Framework. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
40C. P. Ravikumar, Rajamani Rajarajan Genetic Algorithms for Scan Path Design. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
40Sujit Dey, Miodrag Potkonjak Non-scan design-for-testability of RT-level data paths. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
40Abu S. M. Hassan, Vinod K. Agarwal, Benoit Nadeau-Dostie, Janusz Rajski BIST of PCB interconnects using boundary-scan architecture. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
40Bulent I. Dervisoglu Application of scan hardware and software for debug and diagnostics in a workstation environment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
39Irith Pomeranz, Sudhakar M. Reddy Scan-BIST based on transition probabilities for circuits with single and multiple scan chains. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Mihir A. Shah, Janak H. Patel Enhancement of the Illinois Scan Architecture for Use with Multiple Scan Inputs. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39Takaki Yoshida, Masafumi Watari MD-SCAN Method for Low Power Scan Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37J. Treurniet Detecting low-profile scans in TCP anomaly event data. Search on Bibsonomy PST The full citation details ... 2006 DBLP  DOI  BibTeX  RDF distributed scan detection, scan detection, slow scan detection, network security, TCP, anomaly detection
37Il-soo Lee, Tony Ambler Two efficient methods to reduce power and testing time. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF reordering scan latches, scan architecture, power, testing time
36Debesh Kumar Das, Satoshi Ohtake, Hideo Fujiwara New Non-Scan DFT Techniques to Achieve 100% Fault Efficiency. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF scan and non-scan, fault efficiency, ATPG
36Bruce S. Greene, Samiha Mourad Partial Scan Testing on the Register-Transfer Level. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF RT-level, fault coverage, partial scan, scan design, graph reduction
36O. A. Petlin, Stephen B. Furber Scan testing of asynchronous sequential circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF micropipeline design style, combinational block, state holding elements, standard test generation techniques, VLSI, logic testing, delays, integrated circuit testing, logic design, sequential circuits, asynchronous circuits, integrated logic circuits, delay faults, boundary scan testing, scan testing, single stuck-at faults, asynchronous sequential logic, asynchronous sequential circuits
36Vinay Dabholkar, Sreejit Chakravarty, J. Najm, Janak H. Patel Cyclic stress tests for full scan circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cyclic stress tests, fully testable unpackaged dies, burn-in process, cyclic input sequences, stress related problems, ISCAS89 benchmark circuits, monitored burn-in problems, IC reliability, VLSI, VLSI, logic testing, integrated circuit testing, CMOS, CMOS logic circuits, boundary scan testing, MCMs, integrated circuit reliability, full scan circuits
36Bapiraju Vinnakota, Nicholas J. Stessman Reducing test application time in scan design schemes. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF scan design schemes, computationally intractable problem, test vector correlation, graph theory, fault diagnosis, logic testing, sequential circuits, sequential circuits, automatic testing, fault simulation, fault coverage, correlation methods, boundary scan testing, test times, test application time, heuristic techniques
36O. A. Petlin, Stephen B. Furber Scan testing of micropipelines. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous VLSI circuit design, AMULET1 microprocessor, scan test technique, data processing blocks, combinational processing logic, state holding elements, test generation techniques, VLSI, logic testing, delays, integrated circuit testing, design for testability, logic design, asynchronous circuits, fault location, integrated circuit design, microprocessor chips, delay faults, boundary scan testing, computer testing, test patterns, single stuck-at faults, micropipelines
36Nazar S. Haider, Nick Kanopoulos Efficient board interconnect testing using the split boundary scan register. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF IEEE std. 1149.1-1990, split boundary scan register, BIST, boundary scan
36Bulent I. Dervisoglu Features of a Scan and Clock Resource chip for providing access to board-level test functions. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF diagnostics bus, design-for-testability, scan, boundary scan, pseudorandom testing
36Shiao-Li Tsao, Ya-Lien Cheng Improving Channel Scanning Procedures for WLAN Handoffs. Search on Bibsonomy EUC Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF channel scan, WLAN, mobility management, handoff
36Irith Pomeranz, Sandip Kundu, Sudhakar M. Reddy Masking of Unknown Output Values during Output Response Compression byUsing Comparison Units. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF output response compression, Built-in self-test, scan design
35Dheepakkumaran Jayaraman, Rajamani Sethuram, Spyros Tragoudas Gating internal nodes to reduce power during scan shift. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF gating internal nodes, scan shift power reduction, low power test
35Jaynarayan T. Tudu, Erik Larsson, Virendra Singh, Hideo Fujiwara Graph theoretic approach for scan cell reordering to minimize peak shift power. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power droop, scan chain reordering, peak power
35Khadija Houerbi Ramah, Kavé Salamatian, Farouk Kamoun Scan Surveillance in Internet Networks. Search on Bibsonomy Networking The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scan monitoring, Networks, anomaly detection, Information Theory
35Ho Fai Ko, Nicola Nicolici Scan Division Algorithm for Shift and Capture Power Reduction for At-Speed Test Using Skewed-Load Test Application Strategy. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Skewed-load, Scan division, At-speed test, Low-power test
35Nabil Badereddine, Zhanglei Wang, Patrick Girard 0001, Krishnendu Chakrabarty, Arnaud Virazel, Serge Pravossoudovitch, Christian Landrault A Selective Scan Slice Encoding Technique for Test Data Volume and Test Power Reduction. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF DfT, Scan, Test data compression, Low power testing
35Xiaoqing Wen, Kohei Miyase, Tatsuya Suzuki, Seiji Kajihara, Laung-Terng Wang, Kewal K. Saluja, Kozo Kinoshita Low Capture Switching Activity Test Generation for Reducing IR-Drop in At-Speed Scan Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF At-speed scan testing, Capture switching activity, X-filling, Test cube, ATPG, Low power testing
35Rohit Kapur, Subhasish Mitra, Thomas W. Williams Historical Perspective on Scan Compression. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scan compression, test data volume reduction, IC testing, test application time reduction
35Chao-Wen Tzeng, Shi-Yu Huang UMC-Scan Test Methodology: Exploiting the Maximum Freedom of Multicasting. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multicasting, broadcasting, DFT, test compression, scan test
35Fei Wang, Yu Hu 0001, Xiaowei Li 0001 Adaptive Diagnostic Pattern Generation for Scan Chains. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF very large scale integration (VLSI), testing, diagnosis, Boolean satisfiability, scan chain
35David Hély, Frédéric Bancel, Marie-Lise Flottes, Bruno Rouzeyre Securing Scan Control in Crypto Chips. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Crypto-chips, Security, Scan
35Markus Seuring Combining Scan Test and Built-in Self Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MBIST, BIST, scan test, production test, stress test
35Ismet Bayraktaroglu, Alex Orailoglu The Construction of Optimal Deterministic Partitionings in Scan-Based BIST Fault Diagnosis: Mathematical Foundations and Cost-Effective Implementations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Fault diagnosis, finite field arithmetic, scan-based BIST
35Amit M. Sheth, Jacob Savir Scan Latch Design for Test Applications. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF shift register latch, scan design, hardware overhead, LSSD
35Adit D. Singh, Markus Seuring, Michael Gössel, Egor S. Sogomonyan Multimode scan: Test per clock BIST for IP cores. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SoC, BIST, scan, digital testing
35Hiroshi Date, Toshinori Hosokawa, Michiaki Muraoka A SoC Test Strategy Based on a Non-Scan DFT Method. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF non-scan DFT, high level design and test, SoC test
35Andrzej Rucinski 0002, Barbara Dziurla-Rucinska Boundary Scan as a Test Solution in Microelectronics Curricula. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF IEEE 1149.4 standard, Education, Boundary scan
35Patrick Girard 0001, Christian Landrault, V. Moreda, Serge Pravossoudovitch, Arnaud Virazel A Scan-BIST Structure to Test Delay Faults in Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST, delay faults, scan design
35Tsung-Chu Huang, Kuen-Jong Lee An Input Control Technique for Power Reduction in Scan Circuits During Test Application. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF ATPG, VLSI testing, Power Minimization, Low-power Test, Full-scan
35Ismed Hartanto, Vamsi Boppana, W. Kent Fuchs Identification of unsettable flip-flops for partial scan and faster ATPG. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF ISCAS89 circuits, deterministic test generation, difficult-to-set hip-hops, hip-hops, state elements, state justification, transformed circuits, unsettable flip-flops identification, logic testing, ATPG, partial scan, sequential circuits test generation
35Shang-E Tai, Debashis Bhattacharya A three-stage partial scan design method to ease ATPG. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF minimum feed back vertex set, design for testability, partial scan design
35Jung-Cheun Lien, Melvin A. Breuer An optimal scheduling algorithm for testing interconnect using boundary scan. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF Boundary scan, test scheduling, interconnect test
35Arno Kunzmann, Hans-Joachim Wunderlich An analytical approach to the partial scan problem. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF partial scan path, sequential test generation, design for testability
35Boxue Yin, Dong Xiang, Zhen Chen New Techniques for Accelerating Small Delay ATPG and Generating Compact Test Sets. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 7739 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license