The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Testability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1980 (18) 1981-1982 (19) 1983-1984 (27) 1985 (18) 1986 (28) 1987-1988 (53) 1989 (39) 1990 (62) 1991 (57) 1992 (64) 1993 (85) 1994 (76) 1995 (135) 1996 (84) 1997 (92) 1998 (95) 1999 (99) 2000 (102) 2001 (68) 2002 (91) 2003 (78) 2004 (82) 2005 (87) 2006 (75) 2007 (79) 2008 (87) 2009 (49) 2010 (42) 2011 (34) 2012 (28) 2013 (17) 2014 (28) 2015 (21) 2016 (18) 2017-2018 (35) 2019 (29) 2020 (26) 2021 (29) 2022 (25) 2023 (25) 2024 (4)
Publication types (Num. hits)
article(783) book(2) incollection(3) inproceedings(1401) phdthesis(21)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2833 occurrences of 878 keywords

Results
Found 2210 publication records. Showing 2210 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
29Shiyi Xu, Peter Waignjo, Percy G. Dias, Bole Shi Testability Prediction for Sequential Circuits Using Neural Network. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Abhijit Chatterjee, Naveena Nagi Design for Testability and Built-In Self-Test of Mixed-Signal Circuits: A Tutorial. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha Hierarchical Test Generation and Design for Testability of ASPPs and ASIPs. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Chunduri Rama Mohan, Partha Pratim Chakrabarti EARTH: combined state assignment of PLA-based FSM's targeting area and testability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
29Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha A design for testability technique for RTL circuits using control/data flow extraction. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
29Kent L. Einspahr, Sharad C. Seth, Vishwani D. Agrawal Improving Circuit Testability by Clock Control. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
29Shaahin Hessabi, Mohamed Y. Osman, Mohamed I. Elmasry Differential BiCMOS logic circuits: fault characterization and design-for-testability. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
29Elizabeth M. Rudnick, Vivek Chickermane, Prithviraj Banerjee, Janak H. Patel Sequential circuit testability enhancement using a nonscan approach. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
29Miquel Roca 0001, Antonio Rubio 0001 Current testability analysis of feedback bridging faults in CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
29Vincenzo Piuri, Mariagiovanna Sami, Donatella Sciuto Testability of artificial neural networks: A behavioral approach. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF behavioral testing, neural error models, controllability, observability, feed-forward neural networks
29Sujit Dey, Vijay Gangaram, Miodrag Potkonjak A controller-based design-for-testability technique for controller-data path circuits. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
29Vivek Chickermane, Jaushin Lee, Janak H. Patel Addressing design for testability at the architectural level. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
29Sujit Dey, Miodrag Potkonjak Non-scan design-for-testability of RT-level data paths. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
29Kaushik De, Prithviraj Banerjee PREST: a system for logic partitioning and resynthesis for testability. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
29Irith Pomeranz, Zvi Kohavi A limited exponential complexity algorithm for increasing the testability of digital circuits by testing-module insertion. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
29William R. Simpson, John W. Sheppard System Testability Assessment for Integrated Diagnostics. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
29Joachim Hartmann The Random Testability of the n-Input AND Gate. Search on Bibsonomy STACS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
29Noriyuki Ito Automatic Incorporation of On-Chip Testability Circuits. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
29T. Ghewala CrossCheck: A Cell Based VLSI Testability Solution. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
29Larry Carter, Leendert M. Huisman, Tom W. Williams TRIM: testability range by ignoring the memory. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
29Hans-Joachim Wunderlich PROTEST: a tool for probabilistic testability analysis. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
27Tianmei Li 0001, Xiaosheng Si, Zonghao Yang, Hong Pei, Yuzhe Ma NHPP Testability Growth Model Considering Testability Growth Effort, Rectifying Delay, and Imperfect Correction. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
27Chia Yee Ooi, Hideo Fujiwara A New Design-for-Testability Method Based on Thru-Testability. Search on Bibsonomy J. Electron. Test. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Dong Xiang, Yi Xu Cost-Effective Non-Scan Design for Testability for Actual Testability Improvement. Search on Bibsonomy ICCD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Rachida Dssouli, Kamel Karoui, Kassem Saleh, Omar Cherkaoui Communications software design for testability: specification transformations and testability measures. Search on Bibsonomy Inf. Softw. Technol. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Sandhya Seshadri, Michael S. Hsiao An integrated approach to behavioral-level design-for-testability using value-range and variable testability techniques. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
27Mohamed Jamoussi, Bozena Kaminska M-Testability: An Approach for Data-Path Testability Evaluation. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27Mohamed Jamoussi, Bozena Kaminska Data Path Testability Evaluation via Functional Testability Measures. Search on Bibsonomy VLSI Design The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27Mohamed Jamoussi, Bozena Kaminska A Functional-level Testability Evaluation Using a New M-Testability. Search on Bibsonomy ISCAS The full citation details ... 1993 DBLP  BibTeX  RDF
27M. Jamoussi, B. Kaminaka, D. Mukhedkar A New Variable Testability Measure: a Concept for Data-Flow Testability Evaluation. Search on Bibsonomy VLSI Design The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Colin Maunder Bargain for testability researchers: Tsui, F FLSI/VLSI testability design McGrawHill, New York, NY, USA (1987) £49.95 pp 702. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
27Ting-Hua Chen, Melvin A. Breuer Automatic Design for Testability Via Testability Measures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26V. Loukusa Embedded System Level Self-Test for Mixed-Signal IO Verification. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IO connectivity, DFT, histogram, testability, self-test, mixed-signal, system level
26Shiy Xu, E. Edirisuriya A New Way of Detecting Reconvergent Fanout Branch Pairs in Logic Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Fanout branch, Reconvergence, Testability, Testable Design, Fanout
26José M. Sempere, Pedro García 0001 Learning Locally Testable Even Linear Languages from Positive Data. Search on Bibsonomy ICGI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Learning from positive data, local testability, even linear languages
26Shiyi Xu, Wei Cen Forecasting the efficiency of test generation algorithms for digital circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF efficiency forecasting, testability parameters, genetic algorithms, genetic algorithms, VLSI, logic testing, integrated circuit testing, sequential circuits, sequential circuits, automatic test pattern generation, ATPG, combinational circuits, combinational circuits, digital circuits, VLSI circuits, digital integrated circuits, test generation algorithms
26Kwame Osei Boateng, Hiroshi Takahashi, Yuzo Takamatsu Design of C-Testable Multipliers Based on the Modified Booth Algorithm. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF modified Booth Algorithm, c-testable design, design for testability, multiplier, exhaustive testing, cell fault model
26Wei Liang Huang, Fred J. Meyer, Fabrizio Lombardi Multiple fault detection in logic resources of FPGAs. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF logic resources, AND tree, OR tree, CLB test generation, field programmable gate arrays, fault model, configurability, testability, programmability, multiple fault detection, SRAM-based FPGA
26Chunduri Rama Mohan, Srobona Mitra, Partha Pal Chaudhuri On Incorporation of BIST for the Synthesis of Easily and Fully Testable Controllers. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fully testable controllers, testing scheme, Cadence, target library, built-in self test, cellular automata, VHDL, ATPGs, BIST, testability, FSMs, partial scan, VERILOG, area overhead, RTL designs, SYNERGY, full scan, stuck-at fault model
26Harry Hengster, Rolf Drechsler, Bernd Becker 0001, Stefan Eckrich, Tonja Pfeiffer AND/EXOR based Synthesis of Testable KFDD-Circuits with Small Depth. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF EXOR based synthesis, synthesis for testability, delay optimization
26Mou Hu Design of One-Vector Testable Binary Systems Based on Ternary Logic. Search on Bibsonomy ISMVL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF ternary circuits, MOS circuit, logic testing, built-in self-test, design for testability, multiple-valued logic
26Arun Balakrishnan, Srimat T. Chakradhar Retiming with logic duplication transformation: theory and an application to partial scan. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF logic duplication transformation, partial scan application, RLD configurations, RLD transformation, testability metrics, scan flip-flops, sequential circuit design, linear programming, timing, integer programming, logic design, sequential circuits, logic CAD, polynomial time algorithm, integer linear program, flip-flops, retiming, integrated circuit design, circuit CAD, integrated logic circuits, objective function
26Tong Liu 0007, Wei-Kang Huang, Fabrizio Lombardi Testing of Uncustomized Segmented Channel Field Programmable Gate Arrays. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF constant testability, FPGA, testing, manufacturing
26Debesh K. Das, Bhargab B. Bhattacharya Testable design of non-scan sequential circuits using extra logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF nonscan sequential circuits, sequentially redundant faults, multiple stuck-at-fault model, augmented logic, performance evaluation, logic testing, redundancy, test generation, design for testability, logic design, sequential circuits, logic synthesis, synchronous sequential circuits, benchmark circuits, testable design
26Bulent I. Dervisoglu Features of a Scan and Clock Resource chip for providing access to board-level test functions. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF diagnostics bus, design-for-testability, scan, boundary scan, pseudorandom testing
26Arno Kunzmann, Hans-Joachim Wunderlich An analytical approach to the partial scan problem. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF partial scan path, sequential test generation, design for testability
24Janusz Sosnowski, Lukasz Tupaj CPU Testability in Embedded Systems. Search on Bibsonomy DELTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CPU testing, embedded systems, testability, software-based-self-test
24Alberto González-Sanchez 0002, Éric Piel, Hans-Gerhard Groß RiTMO: A Method for Runtime Testability Measurement and Optimisation. Search on Bibsonomy QSIC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF runtime testability, cig, runtime testing, optimization
24Roberta Coelho, Uirá Kulesza, Arndt von Staa Improving architecture testability with patterns. Search on Bibsonomy OOPSLA Companion The full citation details ... 2005 DBLP  DOI  BibTeX  RDF testability, architectural patterns, test patterns
24Rolf Drechsler, Junhao Shi, Görschwin Fey MuTaTe: an efficient design for testability technique for multiplexor based circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiplexor based circuits, design for testability, logic synthesis, BDDs, decision diagrams
24Robert M. Hierons, T.-H. Kim, Hasan Ural Expanding an Extended Finite State Machine to aid Testability. Search on Bibsonomy COMPSAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF testability, extended finite state machine, infeasible paths
24Hiroki Wada, Toshimitsu Masuzawa, Kewal K. Saluja, Hideo Fujiwara Design for Strong Testability of RTL Data Paths to Provide Complete Fault Efficiency. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Complete fault efficiency, Design for testability, Data path, Hierarchical test
24Franco Fummi, Donatella Sciuto, Micaela Serra Synthesis for Testability of Highly Complex Controllers by Functional Redundancy Removal. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF sequential circuits, functional testing, Synthesis for testability, logic minimization, redundant faults, redundancies removal
24Yves Le Traon, Daniel Deveaux, Jean-Marc Jézéquel Self-Testable Components: From Pragmatic Tests to Design-for-Testability Methodology. Search on Bibsonomy TOOLS (29) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF testing, design-for-testability, self-test, design by contract, reusable components
24Cecilia Metra, Michele Favalli, Piero Olivo, Bruno Riccò Design of CMOS checkers with improved testability of bridging and transistor stuck-on faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF CMOS, testability, Bridging fault, self-checking circuits, checker
24Wuudiann Ke, Premachandran R. Menon Multifault and delay-fault testability of multilevel circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testing, testability, delay-faults, multiple stuck-at faults
24Víctor H. Champac, Joan Figueras Testability of floating gate defects in sequential circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF floating gate defect testability, logic detectability conditions, defective transistors, logically untestable branches, scan path cell, CMOS latch cell, scan path flip-flops, fault diagnosis, logic testing, integrated circuit testing, sequential circuits, sequential circuits, simulated results, flip-flops, CMOS logic circuits, integrated circuit modelling, I/sub DDQ/ testing
24Gueesang Lee, Mary Jane Irwin, Robert Michael Owens Polynomial Time Testability of Circuits Generated by Input Decomposition. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF input decomposition, logic synthesis tool, fault detection problem, complexity, logic testing, test generation, combinational circuits, logic CAD, testability, stuck-at fault, polynomial time, combinatorial circuits
24Bernhard Eschermann Enhancing on-line testability during synthesis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF BIST, synthesis for testability, control flow checking, controller synthesis
24Sam M. Kim, Robert McNaughton, Robert McCloskey A Polynomial Time Algorithm for the Local Testability Problem of Deterministic Finite Automata. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF local testability, locally testable language, nonnegative integer, prefix, suffix, computational complexity, polynomial time algorithm, formal languages, finite automata, word, deterministic finite automata, deterministic automata, substrings
24Irith Pomeranz, Zvi Kohavi Polynomial Complexity Algorithms for Increasing the Testability of Digital Circuits by Testing Module Insertion. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF polynomial complexity algorithms, testing-module insertion, test set merging, fanout free circuits, computational complexity, logic testing, partitioning, combinational circuits, logic CAD, testability, digital circuits, combinatorial circuits, single stuck-at faults, test generation algorithm, placement algorithm
24Bjørg Reppen, Einar J. Aas Combined probabilistic testability calculation and compact test generation for PLAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF probabilistic testability, Fault coverage, test pattern generation, programmable logic arrays
24Abhijit Chatterjee, Jacob A. Abraham The Testability of Generalized Counters Under Multiple Faulty Cells. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF generalized counters, multiple faulty cells, counting circuits, logic testing, fault model, testability, adders, full adders
24Jacob Savir, William H. McAnney Random Pattern Testability of Delay Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF combinational logic networks, logic testing, delay faults, combinatorial circuits, latches, random pattern testability, system clocks
24Saied Bozorgui-Nesbat, Edward J. McCluskey Lower Overhead Design for Testability of Programmable Logic Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF test generation for PLA's, PLA testing, Design for testability, programmable logic arrays (PLA)
24Jacob Savir Good Controllability and Observability Do Not Guarantee Good Testability. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF Controllability, observability, random testing, testability, deterministic testing
24Robert W. Priester, James B. Clary New Measures of Testability and Test Complexity for Linear Analog Failure Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF test point allocation, fault diagnosis experiment design, test complexity measure, testability measure, Automatic test program generation
24George Markowsky Syndrome-Testability Can be Achieved by Circuit Modification. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF syndrome testability, Circuit modification, stuck-at-faults
24Chantal Robach, Gabriele Saucier, J. Lebrun Processor Testability and Design Consequences. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1976 DBLP  DOI  BibTeX  RDF Hardcore, microdiagnosis, test microprograms, testability, processor design
23Tali Kaufman, Madhu Sudan 0001 Algebraic property testing: the role of invariance. Search on Bibsonomy STOC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF error-correcting codes, locally testable codes, sublinear time algorithms
23Swaroop Ghosh, Swarup Bhunia, Kaushik Roy 0001 Low-Power and testable circuit synthesis using Shannon decomposition. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Shannon expansion, dynamic supply gating, test coverage, Design-for-test, IDDQ, noise immunity, test power
23Görschwin Fey, Anna Bernasconi 0001, Valentina Ciriani, Rolf Drechsler On the Construction of Small Fully Testable Circuits with Low Depth. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Joachim Sudbrock, Jaan Raik, Raimund Ubar, Wieslaw Kuzmicz, Witold A. Pleskacz Defect-Oriented Test- and Layout-Generation for Standard-Cell ASIC Designs. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Varun Arora, Indranil Sengupta 0001 A Unified Approach to Partial Scan Design using Genetic Algorithm. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Julian P. Murphy, Alexandre V. Bystrov, Alexandre Yakovlev Power-Balanced Self Checking Circuits for Cryptographic Chips. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23George Xenoulis, Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis Test Generation Methodology for High-Speed Floating Point Adders. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Abdil Rashid Mohamed, Zebo Peng, Petru Eles A Heuristic for Wiring-Aware Built-In Self-Test Synthesis. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF BIST insertion, wiring area, test synthesis
23Laurence Tianruo Yang, Jon C. Muzio Testing Methodologies for Embedded Systems and Systems-on-Chip. Search on Bibsonomy ICESS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Sunwoong Yang, MoonJoon Kim, JaeHeung Park, Hoon Chang A Study on Methodology for Enhancing Reliability of Datapath. Search on Bibsonomy ICCSA (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Matthew L. King, Kewal K. Saluja Testing Micropipelined Asynchronous Circuits. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Haibo Wang 0005, Suchitra Kulkarni, Spyros Tragoudas On-line Testing Field Programmable Analog Array Circuits. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Eli Ben-Sasson, Madhu Sudan 0001 Robust Locally Testable Codes and Products of Codes. Search on Bibsonomy APPROX-RANDOM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Isao Tsukimoto, Masaki Hashizume, Hiroyuki Yotsuyanagi, Takeomi Tamesada Practical Fault Coverage of Supply Current Tests for Bipolar ICs. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Jin-Fu Li 0001, Cheng-Wen Wu Efficient FFT network testing and diagnosis schemes. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23M. J. Geuzebroek, J. Th. van der Linden, Ad J. van de Goor Test Point Insertion that Facilitates ATPG in Reducing Test Time and Data Volume. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF compact test sets, ATPG, fault coverage, stuck-at faults, test length, Test point insertion, gate-delay faults
23Xiaowei Li 0001, Paul Y. S. Cheung Data Path Synthesis for BIST with Low Area Overhead. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Subhashis Majumder, Bhargab B. Bhattacharya, Vishwani D. Agrawal, Michael L. Bushnell A Complete Characterization of Path Delay Faults through Stuck-at Faults. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Alex Orailoglu Microarchitectural synthesis for rapid BIST testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Huan-Chih Tsai, Kwang-Ting Cheng, Chih-Jen Lin, Sudipta Bhawmik A Hybrid Algorithm for Test Point Selection for Scan-Based BIST. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23William K. C. Lam, Alexander Saldanha, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Delay fault coverage, test set size, and performance trade-offs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
23Nai-Chi Lee A hierarchical analog test bus framework for testing mixed-signal integrated circuits and printed circuit boards. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Srinivas Devadas, Kurt Keutzer Synthesis of robust delay-fault-testable circuits: theory. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
23Frans P. M. Beenker, Barry J. Dekker, Richard Stans, Max van der Star Implementing Macro Test in Silicon Compiler Design. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Kurt Keutzer, Sharad Malik, Alexander Saldanha Is Redundancy Necessary to Reduce Delay. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Srinivas Devadas, Kurt Keutzer Synthesis and Optimization Procedures for Robustly Delay-Fault Testable Combinational Logic Circuits. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Micaela Serra, Jon C. Muzio Space compaction for multiple-output circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
23Wei-Kang Huang, Fabrizio Lombardi On an improved design approach for C-testable orthogonal iterative arrays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
22Muhammad Nummer, Manoj Sachdev DFT for Testing igh-Performance Pipelined Circuits with Slow-Speed Testers. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF high-performance testing, design for testability, Delay-fault testing, design for delay testability
22Tomokazu Yoneda, Hideo Fujiwara Design for Consecutive Transparency of Cores in System-on-a-Chip. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF consecutive transparency, design for testability, system-on a chip, register transfer level, test access mechanism, consecutive testability
22Marwan A. Gharaybeh, Vishwani D. Agrawal, Michael L. Bushnell, Carlos G. Parodi False-Path Removal Using Delay Fault Simulation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF design for testability, fault simulation, Delay testing, path delay faults, synthesis for testability, redundancy removal
Displaying result #301 - #400 of 2210 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license