The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for sequential with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1959 (19) 1960-1961 (16) 1962 (17) 1963 (20) 1964 (24) 1965 (38) 1966 (42) 1967 (43) 1968 (43) 1969 (40) 1970 (41) 1971 (62) 1972 (42) 1973 (45) 1974 (58) 1975 (50) 1976 (44) 1977 (52) 1978 (49) 1979 (43) 1980 (58) 1981 (47) 1982 (54) 1983 (62) 1984 (65) 1985 (56) 1986 (67) 1987 (84) 1988 (153) 1989 (170) 1990 (195) 1991 (188) 1992 (235) 1993 (256) 1994 (316) 1995 (370) 1996 (337) 1997 (396) 1998 (356) 1999 (450) 2000 (528) 2001 (543) 2002 (596) 2003 (672) 2004 (859) 2005 (998) 2006 (1075) 2007 (1184) 2008 (1182) 2009 (995) 2010 (619) 2011 (521) 2012 (607) 2013 (615) 2014 (632) 2015 (700) 2016 (734) 2017 (842) 2018 (910) 2019 (1070) 2020 (1175) 2021 (1222) 2022 (1282) 2023 (1460) 2024 (382)
Publication types (Num. hits)
article(11316) book(35) data(1) incollection(167) inproceedings(14290) phdthesis(296) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(2304) IEEE Trans. Computers(364) IEEE Trans. Comput. Aided Des....(256) IEEE Trans. Inf. Theory(232) ICASSP(207) IEEE Access(202) IEEE Trans. Signal Process.(166) DAC(160) ICCAD(152) WSC(134) VLSI Design(133) AAAI(132) IPDPS(125) CIKM(123) Neurocomputing(114) ICML(102) More (+10 of total 3888)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 11369 occurrences of 4563 keywords

Results
Found 26106 publication records. Showing 26106 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Thomas A. Ball, Eugene M. Strand, John A. Mantle A practical structure for a very large time sequential data base. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
23Richard M. Karp Some Bounds on the Storage Requirements of Sequential Machines and Turing Machines. Search on Bibsonomy J. ACM The full citation details ... 1967 DBLP  DOI  BibTeX  RDF
22Jason R. Chen Making clustering in delay-vector space meaningful. Search on Bibsonomy Knowl. Inf. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Delay space, Sequential time series clustering, STS clustering, Clustering, Time series
22Sharon L. Oviatt, Rebecca Lunsford, Rachel Coulston Individual differences in multimodal integration patterns: what are they and why do they exist? Search on Bibsonomy CHI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF disfluencies, impulsive-reflective cognitive style, multimodal integration patterns, simultaneous or sequential input, errors, conversations, individual differences, commands
22Lisa Higham, Jalal Kawash Impact of Instruction Re-Ordering on the Correctness of Shared-Memory Programs. Search on Bibsonomy ISPAN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Instruction re-ordering, High-performance multiprocessors, Mutual exclusion, Sequential consistency, Critical Sections, Memory consistency models
22Wonil Lee, Donghoon Chang, Sangjin Lee 0002, Soo Hak Sung, Mridul Nandi New Parallel Domain Extenders for UOWHF. Search on Bibsonomy ASIACRYPT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF UOWHF, masking assignment, sequential construciton, parallel construction, tree based construction, hash function
22Christian Fotsing, Annie Geniet, Guy Vidal-Naquet Tree scheduling versus sequential scheduling. Search on Bibsonomy EDCC-CARS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF conditional statements, critical real-time systems, sequential scheduling, tree scheduling, validation
22Jiang Ji, Kaizhi Huang, Liang Jin, LiZhi Zhang, Meng Zhang Controllable single accumulated state-sequential acquisition with low signal noise ratio. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF pseudo-noise (PN) sequence, single accumulated state, sequential acquisition, control state
22Weidong Wang, Qingxin Zhu Sequential Monte Carlo localization in mobile sensor networks. Search on Bibsonomy Wirel. Networks The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Mobile sensor networks, Sequential Monte Carlo method, Range-free localization
22Stéphane Le Roux 0001 Acyclic Preferences and Existence of Sequential Nash Equilibria: A Formal and Constructive Equivalence. Search on Bibsonomy TPHOLs The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sequential game theory, effective generalisation, abstraction, induction, Coq
22Xinjun Peng, Yifei Wang Learning with Sequential Minimal Transductive Support Vector Machine. Search on Bibsonomy FAW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sequential minimization, support vector machine, statistical learning, transductive inference
22Yung-Chih Chen, Chun-Yao Wang Enhancing SAT-based sequential depth computation by pruning search space. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sequential depth, satisfiability(sat)
22Jerzy W. Greblicki, Jerzy Kotowski Automated Design of Totally Self-Checking Sequential Circuits. Search on Bibsonomy EUROCAST The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sequential circuits, Fault tolerant systems, totally self-checking circuits
22Tomonori Shirotori, Yuko Osana Improved Kohonen Feature Map Associative Memory with Area Representation for Sequential Analog Patterns. Search on Bibsonomy ICANN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Kohonen Feature Map (Self-Organizing Map), Successive Learning, Sequential Analog Pattern, Associative Memory
22Matthew J. Bridges, Neil Vachharajani, Yun Zhang 0005, Thomas B. Jablin, David I. August Revisiting the Sequential Programming Model for the Multicore Era. Search on Bibsonomy IEEE Micro The full citation details ... 2008 DBLP  DOI  BibTeX  RDF thread extraction, sequential-programming model, compilers, automatic parallelization, compiler-architecture interactions
22Cheng-Yi Xiong, Jin-Wen Tian, Jian Liu 0011 High performance word level sequential and parallel coding methods and architectures for bit plane coding. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bit plane coding, word-level sequential, multi-word parallel, high performance
22Scott Sirowy, Greg Stitt, Frank Vahid C is for circuits: capturing FPGA circuits as sequential code for portability. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sequential code, FPGA, synthesis, portability, circuit design
22Maher Said Information revelation and random entry in sequential ascending auctions. Search on Bibsonomy EC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic vickrey-clarke-groves mechanism, information revelation, random arrivals, sequential ascending auctions
22Gong Chen, Xindong Wu 0001, Xingquan Zhu 0001 Mining Sequential Patterns across Time Sequences. Search on Bibsonomy New Gener. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Time Sequences, Data Mining, Sequential Patterns
22Hao-Ping Hung, Ming-Syan Chen MULS: A General Framework of Providing Multilevel Service Quality in Sequential Data Broadcasting. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF sequential data broadcasting, ordered-dependency, multi-level service quality, mobile computing, Data Broadcasting
22Karthik Gopalratnam, Diane J. Cook Online Sequential Prediction via Incremental Parsing: The Active LeZi Algorithm. Search on Bibsonomy IEEE Intell. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF sequential prediction, Active LeZi, MavHome, smart environments
22Seung-Woo Kim, Sanghyun Park 0003, Jung-Im Won, Sang-Wook Kim Privacy Preserving Data Mining of Sequential Patterns for Network Traffic Data. Search on Bibsonomy DASFAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Data mining, Privacy, Sequential pattern, Network traffic
22Jianhui Wu 0006, Edmund H. Durfee Sequential resource allocation in multiagent systems with uncertainties. Search on Bibsonomy AAMAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF constrained MDPs, mission phasing, sequential resource allocation, mixed integer linear programming
22Marc Plantevit, Anne Laurent, Maguelonne Teisseire HYPE: mining hierarchical sequential patterns. Search on Bibsonomy DOLAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multidimensional sequential patterns, OLAP, hierarchies
22Yu-Mei Chai, Zhong-feng Wang Optimal Agendas for Sequential English Auctions with Private and Common Values. Search on Bibsonomy PRIMA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sequential English auctions, optimal agendas, Multi-agent Systems
22Wojciech Jaworski Learning Compound Decision Functions for Sequential Data in Dialog with Experts. Search on Bibsonomy RSCTC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Sequential pattern recognition, Rough sets
22Ho-pong Leung, Korris Fu-Lai Chung, Stephen Chi-fai Chan On the use of hierarchical information in sequential mining-based XML document similarity computation. Search on Bibsonomy Knowl. Inf. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF XML structural similarity, Information retrieval, Sequential mining
22Anand L. D'Souza, Michael S. Hsiao Error Diagnosis of Sequential Circuits Using Region-Based Model. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF non-enumerative, diagnosis, sequential, region-based
22Jerzy Stefanowski, Radoslaw Z. Ziembinski Mining Context Based Sequential Patterns. Search on Bibsonomy AWIC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Web Usage Mining, Sequential Patterns, Web Log Analysis
22Petcharat Burapathana, Proadpran Pitsatorn, Boonchai Sowanwanichkul An Applying Aspect-Oriented Concept to Sequential Logic Design. Search on Bibsonomy ITCC (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Aspect-oriented concept, Hardware design and Sequential logic, Reusability, Crosscutting
22Huafei Zhu, Feng Bao 0001, Robert H. Deng Sequential Aggregate Signatures Working over Independent Homomorphic Trapdoor One-Way Permutation Domains. Search on Bibsonomy ICICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Homomorphic trapdoor one-way permutation, sequential aggregate signature, signature scheme
22Qiang Yang 0001, Tianyi Li 0001, Ke Wang 0001 Building Association-Rule Based Sequential Classifiers for Web-Document Prediction. Search on Bibsonomy Data Min. Knowl. Discov. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sequential classifiers, presending web documents, web log mining
22Sherri K. Harms, Jitender S. Deogun Sequential Association Rule Mining with Time Lags. Search on Bibsonomy J. Intell. Inf. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sequential rule discovery, drought risk management, knowledge discovery, time lag
22Bhaskar Krishnamachari, Rung-Hung Gau, Stephen B. Wicker, Zygmunt J. Haas Optimal Sequential Paging in Cellular Wireless Networks. Search on Bibsonomy Wirel. Networks The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sequential paging, mobility management, cellular wireless networks
22Shaochun Wu, Gengfeng Wu, Shenjie Jin Pre-Clustering Based Sequential Pattern Mining. Search on Bibsonomy CIT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Data Distribution and Parallel Computing, Data Mining, Clustering, Sequential Pattern
22Jong-Eun Ha, Dong Joong Kang, Mun-Ho Jeong Sequential Robust Direct Motion Estimation with Equal Projective Basis. Search on Bibsonomy PCM (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Uncalibrated, projective basis, sequential motion estimation
22Ming-Syan Chen, Kun-Lung Wu, Philip S. Yu Optimizing Index Allocation for Sequential Data Broadcasting in Wireless Mobile Computing. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Wireless mobile computing, sequential broadcasting, indexing, energy saving
22Stephen A. Edwards Tutorial: Compiling concurrent languages for sequential processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF communication, Petri nets, Compilation, concurrency, code generation, partial evaluation, dataflow, Verilog, Esterel, sequential, Lustre, discrete-event
22Marion Leleu, Christophe Rigotti, Jean-François Boulicaut, Guillaume Euvrard Constraint-Based Mining of Sequential Patterns over Datasets with Consecutive Repetitions. Search on Bibsonomy PKDD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF generalized occurrence, sequential pattern, constraint-based mining
22Lei Pan 0001, Lubomir Bic, Michael B. Dillencourt, Ming Kin Lai From Distributed Sequential Computing to Distributed Parallel Computing. Search on Bibsonomy ICPP Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF distributed sequential computing, parallel Jacobi iteration, parallel Cholesky factorization, program transformation, distributed parallel computing
22Yi Lu, Christie I. Ezeife Position Coded Pre-order Linked WAP-Tree for Web Log Sequential Pattern Mining. Search on Bibsonomy PAKDD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF WAP-tree Mining, Pre-Order Linkage, Position Codes, Web Usage Mining, Sequential Mining
22Jesse D. Bingham, Anne Condon, Alan J. Hu Toward a decidable notion of sequential consistency. Search on Bibsonomy SPAA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF shared memory systems, memory model, sequential consistency
22S. Rajasekaran, Deepa Suresh, G. A. Vijayalakshmi Pai Application of Sequential Learning Neural Networks to Civil Engineering Modeling Problems. Search on Bibsonomy Eng. Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Gram-Schmidt orthogonalization, High performance concrete, Minimization principle, Sequential Learning Neural Network, Sigmoidal Neuron, Steepest descent
22Michiko Inoue, Emil Gizdarski, Hideo Fujiwara Sequential Circuits with Combinational Test Generation Complexity under Single-Fault Assumption. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF internally balanced structure, test generation, sequential circuit, combinational circuit, balanced structure
22Ramesh C. Tekumalla, Premachandran R. Menon On Redundant Path Delay Faults in Synchronous Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF functional sensitizability, sequential circuits, testability, Path delay faults, redundant faults
22Jaan Raik, Raimund Ubar Fast Test Pattern Generation for Sequential Circuits Using Decision Diagram Representations. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF hierarchical test pattern generation, sequential circuits, register-transfer level, decision diagrams
22Rob Gerth Sequential Consistency and the Lazy Caching Algorithm. Search on Bibsonomy Distributed Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Weak memory models, Parallel program verification, Cache coherency, Sequential consistency
22Gavin Lowe, Jim Davies Using CSP to Verify Sequential Consistency. Search on Bibsonomy Distributed Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Lazy caching protocol, Verification, Specification, CSP, Sequential consistency
22Teruhiko Yamada, Toshinori Kotake, Hiroshi Takahashi, Koji Yamazaki Identification of Redundant Crosspoint Faults in Sequential PLAs with Fault-Free Hardware Reset. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF sequential PLA, seqnetial test, redundancy identification, design for testability, redundant fault
22Toshinori Hosokawa, Toshihiro Hiraoka, Tomoo Inoue, Hideo Fujiwara Static and Dynamic Test Sequence Compaction Methods for Acyclic Sequential Circuits Using a Time Expansion Model. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Time expansion model, acyclic sequential circuit, reverse transformation fault simulation, template, Test sequence compaction
22Michael S. Hsiao, Srimat T. Chakradhar State Relaxation Based Subsequence Removal for Fast Static Compaction in Sequential Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF test set compaction, state relaxation, recurrence subsequence removal, sequential circuit
22Qi Wang, Sarma B. K. Vrudhula Data Driven Power Optimization of Sequential Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis low power design sequential circuits digital circuit testing verification
22Xijiang Lin, Irith Pomeranz, Sudhakar M. Reddy MIX: A Test Generation System for Synchronous Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF deterministic test generation genetic optimization based test generation restricted multiple observation time approach state based test generation synchronous sequential circuits
22Dilip Krishnaswamy, Michael S. Hsiao, Vikram Saxena, Elizabeth M. Rudnick, Janak H. Patel, Prithviraj Banerjee Parallel Genetic Algorithms for Simulation-Based Sequential Circuit Test Generation. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF simulation-based test generation, distributed memory MIMD machines, shared memory MIMD machines, parallel search strategies, logic testing, fault coverage, NP-complete problems, VLSI circuits, parallel genetic algorithms, sequential circuit test generation
22Kwang-Ting Cheng Gate-level test generation for sequential circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF testing, automatic test generation, IC testing, sequential circuit test generation
22Hsing-Chung Liang, Chung-Len Lee 0001, Jwu E. Chen Invalid State Identification for Sequential Circuit Test Generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF invalid states, test generation, sequential circuits
22Fidel Muradali, Takao Nishida, Tsuguo Shimizu A structure and technique for pseudorandom-based testing of sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF distributed generator, Built-in self-test, design for test, pseudorandom generation, sequential test, weighted random patterns
22Chih-Ping Chu, Doris L. Carver Parallelizing Subroutines in Sequential Programs. Search on Bibsonomy IEEE Softw. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF IBM computers, subroutine parallelization, execution mode, code restructuring, recursive process, IBM 3090 multiprocessor, common memory, interprocessor synchronization, special memory locations, Alliant FX/8, structured Fortran program, parallel algorithms, parallel programming, interprocessor communication, subroutines, structured programming, Cray X-MP, local memory, sequential programs, shared-memory multiprocessor system
22Janusz A. Brzozowski, Helmut Jürgensen A model for sequential machine testing and diagnosis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF Markov chains, Diagnosis, fault models, random testing, sequential machines
22Regimantas Pliuskevicius Design Complete Sequential Calculus for Continuous Fixpoint Temporal Logic. Search on Bibsonomy JELIA The full citation details ... 1992 DBLP  DOI  BibTeX  RDF fixpoint temporal logic, sequential calculi, infinitary rules of inference, completeness
22Younes Souissi Deterministic Systems of Sequential Processes: a class of structured Petri nets. Search on Bibsonomy Applications and Theory of Petri Nets The full citation details ... 1991 DBLP  DOI  BibTeX  RDF Deterministic system of sequential processes, composition, modularity, liveness, monotonicity, boundedness, properties preservation
22Wu-Tung Cheng, Meng-Lin Yu Differential fault simulation for sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF test generation, sequential circuits, fault simulation
21Hari Mony, Jason Baumgartner, Viresh Paruthi, Robert Kanzelman Exploiting suspected redundancy without proving it. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF correctness-preserving transformations, sequential equivalence, sequential redundancy removal, checking
21Shiyi Xu, Wei Cen Forecasting the efficiency of test generation algorithms for digital circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF efficiency forecasting, testability parameters, genetic algorithms, genetic algorithms, VLSI, logic testing, integrated circuit testing, sequential circuits, sequential circuits, automatic test pattern generation, ATPG, combinational circuits, combinational circuits, digital circuits, VLSI circuits, digital integrated circuits, test generation algorithms
21John Thornley, K. Mani Chandy Monotonic Counters: A New Mechanism for Thread Synchronization. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF monotonic counter, deterministic synchronization, sequential equivalence, ragged barriers, sequential ordering, single-writer multiple-reader, mutual exclusion, thread, monotonicity, multithreaded programming, determinacy, thread synchronization
21Irith Pomeranz, Sudhakar M. Reddy Fault diagnosis based on parameters of output responses. Search on Bibsonomy PRDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF output responses parameters, unmodeled faults, fault diagnosis, fault diagnosis, logic testing, sequential circuits, dictionaries, synchronous sequential circuits, diagnostic resolution
21Yong Sun, Hongji Yang Communication Mechanism Independent Protocol Specification Based on CSP: A Case Study. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF communication mechanism independent protocol specification, abstract synchronous communication mechanism, asynchronous communication mechanisms, computer network protocols, specification, communicating sequential processes, communicating sequential processes, alternating bit protocol, communication mechanism
21Krishna B. Rajan, David E. Long, Miron Abramovici Increasing testability by clock transformation (getting rid of those darn states). Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF clock transformation, sequential test generation, darn states, easy-to-reach states, logic testing, partitioning, design for testability, sequential circuits, DFT, fault coverage, testability, flip-flops, flip-flops, clocks, logic partitioning
21Jaswinder Pal Singh, Anshul Kumar, Shashi Kumar A multiplier generator for Xilinx FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiplier generator, Xilinx FPGAs, LUT based FPGA, sequential designs, combinational designs, pipelined designs, IDEAS synthesis system, XC3000 family, XC4000 family, dedicated carry logic, XACT tool, XBLOX tool, field programmable gate arrays, high level synthesis, sequential circuits, combinational circuits, digital arithmetic, logic CAD, pipeline processing, integrated circuit design, circuit CAD, table lookup, multiplying circuits, module generator, carry logic, multiplier designs
21Ajay Khoche, Erik Brunvand Testing self-timed circuits using partial scan. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential network, partial scan environment, logic testing, sequential circuits, asynchronous circuits, partial scan, data paths, self-timed circuits
21Meng-Lieh Sheu, Chung-Len Lee 0001 A programmable multiple-sequence generator for BIST applications. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF programmable multiple-sequence generator, BIST applications, two-dimension-like feedback shift register, deterministic sequence, pseudo-random vectors, sequence segmentation method, stuck-open fault testing, logic testing, delays, built-in self test, sequential circuits, shift registers, delay fault testing, binary sequences, sequential circuit testing, regular structure, MCM testing
21Jwu E. Chen, Chung-Len Lee 0001, Wen-Zen Shen, Beyin Chen Fanout fault analysis for digital logic circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fanout fault analysis, digital logic circuits, combinational benchmark circuits, sequential benchmark circuits, target faults, fault diagnosis, logic testing, test generation, sequential circuits, combinational circuits, fault simulation, fault collapsing
21Steven Parkes, Prithviraj Banerjee, Janak H. Patel A parallel algorithm for fault simulation based on PROOFS . Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fault partitioning, dynamic partitioning schemes, compute intensive task, integrated circuit design process, rapid design turn around, ProperPROOFS, parallel extension, PROOFS fault simulation package, distributed method, fault redistribution, ISCAS-89 benchmark set, high performance serial fault simulation applications, parallel algorithms, parallel algorithm, parallel architectures, parallel architectures, fault diagnosis, logic testing, sequential circuits, sequential circuits, circuit analysis computing, logic partitioning
21George R. Ribeiro-Justo A rigorous method for the constructive design of parallel and distributed programs. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF constructive design, distributed systems engineers, well-structured programs, SASD, behavioural specifications, performance, formal specification, parallel programming, parallel programs, formal verification, specification, program verification, CSP, distributed programs, software performance evaluation, diagrams, diagrams, communicating systems, communicating sequential processes, sequential systems
21Dimitrios Karayiannis, Spyros Tragoudas Uniform area timing-driven circuit implementation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF circuit module, cell library, input-output paths, overall area, timing-driven circuit implementation, computational complexity, heuristics, CAD, delays, timing, sequential circuits, sequential circuits, NP-hard, directed graphs, combinational circuits, combinational circuits, logic CAD, polynomial time algorithm, directed acyclic graphs, circuit CAD, cellular arrays, propagation delay
21Yatin Vasant Hoskote, Jacob A. Abraham, Donald S. Fussell Automated verification of temporal properties specified as state machines in VHDL. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automated verification methodology, correctness specifications, Viper microprocessor, Mealy FSM, compatible states, formal specification, formal verification, high level synthesis, finite state machines, VHDL, sequential circuits, state machines, hardware description languages, microprocessor chips, synchronous sequential circuit, temporal properties, liveness properties
21David E. Long, Mahesh A. Iyer, Miron Abramovici Identifying sequentially untestable faults using illegal states. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequentially untestable faults, illegal states, FILL algorithm, FUNI algorithm, functional partitioning procedure, incremental building, fault diagnosis, logic testing, test generator, integrated circuit testing, sequential circuits, automatic testing, binary decision diagrams, synchronous sequential circuit, logic partitioning, partial solution
21Bapiraju Vinnakota, Nicholas J. Stessman Reducing test application time in scan design schemes. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF scan design schemes, computationally intractable problem, test vector correlation, graph theory, fault diagnosis, logic testing, sequential circuits, sequential circuits, automatic testing, fault simulation, fault coverage, correlation methods, boundary scan testing, test times, test application time, heuristic techniques
21Mohamed Soufi, Yvon Savaria, Bozena Kaminska On the design of at-speed testable VLSI circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF at-speed testable circuits, testable VLSI circuits, application test time, parallel vectors, stuck-at test, observability problems, probe observation point, VLSI, logic testing, integrated circuit testing, design for testability, design-for-testability, logic design, sequential circuits, sequential circuits, observability, fault coverages, integrated circuit design, integrated logic circuits, operational speed, DFT technique
21Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda, Enzo Veiluva A portable ATPG tool for parallel and distributed systems. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF portable ATPG tool, memory critical problems, electronic CAD, code portability, PVM library, DEC Alpha AXP, genetic algorithms, genetic algorithm, distributed systems, parallel architectures, parallel architectures, logic testing, message passing, integrated circuit testing, sequential circuits, sequential circuits, automatic testing, logic CAD, parallel systems, software portability, message-passing libraries, CM-5
21C. P. Ravikumar, Hemant Joshi HISCOAP: a hierarchical testability analysis tool. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF HISCOAP, hierarchical testability analysis tool, SCOAP measure, gate-level netlist, SCOAP expression diagrams, VLSI, logic testing, controllability, controllability, sequential circuits, sequential circuits, combinational circuits, combinational circuits, observability, observability, circuit analysis computing, integrated logic circuits, VLSI circuits, functional modules, stuck at fault model
21Alexander Saldanha, Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Functional clock schedule optimization. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF clock schedule optimization, time frames, level-sensitive sequential circuits, scheduling, delays, delays, timing, sequential circuits, flip-flops, clocks, circuit optimisation, latches, false paths
21Tapan J. Chakraborty, Vishwani D. Agrawal Robust testing for stuck-at faults. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF logic circuit testing, d-robust tests, fault diagnosis, logic testing, delays, sequential circuits, sequential circuits, fault models, combinational circuits, combinational circuit, robust testing, single stuck-at faults, circuit models
21Xinghao Chen 0003, Michael L. Bushnell Generation of search state equivalence for automatic test pattern generation. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF search state equivalence, current search status, prior search decisions, previously-searched decision spaces, enabling theorem, logic testing, integrated circuit testing, sequential circuits, automatic test pattern generation, automatic testing, search problems, sequential circuit test generation
21Andrew Kay, Joy N. Reed A Rely and Guarantee Method for Timed CSP: A Specification and Design of a Telephone Exchange. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF rely method, telephone exchange, guarantee method, timed communicating sequential processes, telephone service, troublesome race conditions, telephone exchanges, formal specification, specification, safety, liveness, communicating sequential processes, telecommunications computing
21Erling Vagn Sørensen, Jens Nordahl, Niels Herman Hansen From CSP Models to Markov Models. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF probabilistic dependability model, trace-based functional model, time homogeneous Markov process, deterministic systems, event occurrences, exponential time distribution, terminal failure, gas burner system, specification, fault tolerant computing, Markov processes, finite automata, safety-critical system, waiting time, communicating sequential process, communicating sequential processes, stochastic, finite automaton
20Christoph Albrecht Efficient incremental clock latency scheduling for large circuits. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Predrag T. Tosic, Gul Agha Characterizing Configuration Spaces of Simple Threshold Cellular Automata. Search on Bibsonomy ACRI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Santiago Escobar 0001 Refining weakly outermost-needed rewriting and narrowing. Search on Bibsonomy PPDP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF neededness, term rewriting, lazy evaluation, demandness, parallel evaluation
20Sandeep Bhatia, Niraj K. Jha Synthesis for parallel scan: applications to partial scan and robust path-delay fault testability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20Yung-Chang Wong, Shu-Yuen Hwang, Yi-Bing Lin A Parallelism Analyzer for Conservative Parallel Simulation. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
20Pierre-Louis Curien On the Symmetry of Sequentiality. Search on Bibsonomy MFPS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
20Anne Auger, Dimo Brockhoff, Nikolaus Hansen Investigating the impact of sequential selection in the (1, 4)-CMA-ES on the noisy BBOB-2010 testbed. Search on Bibsonomy GECCO (Companion) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF benchmarking, black-box optimization
20Anne Auger, Dimo Brockhoff, Nikolaus Hansen Investigating the impact of sequential selection in the (1, 2)-CMA-ES on the noisy BBOB-2010 testbed. Search on Bibsonomy GECCO (Companion) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF benchmarking, black-box optimization
20Ding-An Chiang, Cheng-Tzu Wang, Shao-Ping Chen, Chun-Chi Chen The Cyclic Model Analysis on Sequential Patterns. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Kelly Kingchi Yip, David A. Nembhard MWASP: Multiple-Width Approximate Sequential Patterns. Search on Bibsonomy CIDM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Un-Keun Yoon, Han-joon Kim Improving Hybrid Flash-Disk Storage by Incorporating Sequential Pattern Mining into Fully-Associative Sector Translation. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Jirí Kléma, Lenka Nováková, Filip Karel, Olga Stepánková, Filip Zelezný Sequential Data Mining: A Comparative Case Study in Development of Atherosclerosis Risk Factors. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part C The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Venkat Chandar, Aslan Tchamkerten, Gregory W. Wornell Optimal Sequential Frame Synchronization. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Kai Ni 0007, John W. Paisley, Lawrence Carin, David B. Dunson Multi-Task Learning for Analyzing and Sorting Large Databases of Sequential Data. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 26106 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license