The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for transistor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1957 (21) 1958-1959 (15) 1960-1966 (15) 1967-1984 (19) 1985-1986 (22) 1987-1988 (46) 1989 (31) 1990 (38) 1991 (32) 1992 (30) 1993 (32) 1994 (45) 1995 (67) 1996 (56) 1997 (64) 1998 (88) 1999 (131) 2000 (108) 2001 (136) 2002 (162) 2003 (183) 2004 (179) 2005 (243) 2006 (281) 2007 (271) 2008 (267) 2009 (143) 2010 (92) 2011 (92) 2012 (98) 2013 (88) 2014 (101) 2015 (105) 2016 (108) 2017 (125) 2018 (127) 2019 (121) 2020 (116) 2021 (138) 2022 (109) 2023 (140) 2024 (28)
Publication types (Num. hits)
article(1480) book(1) data(2) incollection(5) inproceedings(2780) phdthesis(45)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1578 occurrences of 818 keywords

Results
Found 4313 publication records. Showing 4313 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Kwan-Do Kim, Young-Kwan Park, Jun-Ha Lee, Jeong-Taek Kong, Hee-Sung Kang, Young-Wug Kim, Seok-Jin Kim Three Dimensional Analysis of Thermal Degradation Effects in FDSOI MOSFET's. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FDSOI, self-heating, finger type, bar type
18Valery Axelrad, Nicolas B. Cobb, M. O'Brien, Thuy Do, Tom Donnelly, Yuri Granik, Emile Y. Sahouria, Victor Boksha, Artur Balasinski Efficient Full-Chip Yield Analysis Methodology for OPC-Corrected VLSI Designs. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18R. Shalem, Lizy Kurian John, Eugene John A Novel Low Power Energy Recovery Full Adder Cell. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Muhammad M. Khellah, Mohamed I. Elmasry Effective Capacitance Macro-Modelling for Architectural-Level Power Estimation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low-power design, power estimation, high-level design
18James T. Kao, Siva G. Narendra, Anantha P. Chandrakasan MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, synthesis, placement, flip-flops, voltage scaling, codec, MPEG4, level converters, design automatian
18Daniel G. Saab, Youssef Saab, Jacob A. Abraham Automatic test vector cultivation for sequential VLSI circuits using genetic algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Uming Ko, T. Balsara, Wai Lee Low-power design techniques for high-performance CMOS adders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Brian S. Cherkauer, Eby G. Friedman Channel width tapering of serially connected MOSFET's with emphasis on power dissipation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Raffaele Costa, Francesco Curatelli, Daniele D. Caviglia, Giacomo M. Bisio Symbolic generation of constrained random logic cells. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18H. Y. Chen, Sung-Mo Kang A new circuit optimization technique for high performance CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Yung-Ching Hsieh, Chi-Yi Hwang, Youn-Long Lin, Yu-Chin Hsu LiB: a CMOS cell compiler. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Kye S. Hedlund Electrical optimization of PLAs. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
18Mahsa Tahermaram, Mahdi Vadizadeh, A. Eslamzadeh, Morteza Fathipour Employing work function enginnering and asymmetric gate oxide in nano-scale source-heterojunction-MOS-transistor. Search on Bibsonomy EIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18He Peng, Chung-Kuan Cheng Parallel transistor level circuit simulation using domain decomposition methods. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Bao Liu 0001 Reconfigurable double gate carbon nanotube field effect transistor based nanoelectronic architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Tamkeen M. Bhatti, F. A. Bhatti Charged based MOS transistor modeling in weak inversion. Search on Bibsonomy EIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Brian Cline, Kaviraj Chopra, David T. Blaauw, Andres Torres, Savithri Sundareswaran Transistor-Specific Delay Modeling for SSTA. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Petru Bogdan Bacinschi, Tudor Murgan, Klaus Koch, Manfred Glesner An Analog On-Chip Adaptive Body Bias Calibration for Reducing Mismatches in Transistor Pairs. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Nikil D. Dutt Quo vadis, BTSoC (Billion Transistor SoC)? Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Weiqing Guo, Yu Zhong, Tom Burd Context-sensitive static transistor-level IR analysis. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Yongho Lee, Deog-Kyoon Jeong, Taewhan Kim Simultaneous control of power/ground current, wakeup time and transistor overhead in power gated circuits. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Zeljko Ignjatovic, Yang Zhang, Mark F. Bocko CMOS image sensor readout employing in-pixel transistor current sensing. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Jordan D. Gray, Srinivasan Venkatesh 0003, Ryan W. Robucci, Paul E. Hasler A floating-gate transistor based continuous-time analog adaptive filter. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Shen-Fu Hsiao, Ming-Yu Tsai, Chia-Sheng Wen Area oriented pass-transistor logic synthesis using buffer elimination and layout compaction. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Saurabh Sinha, Asha Balijepalli, Yu Cao A Simplified Model of Carbon Nanotube Transistor with Applications to Analog and Digital Design. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Schottky barrier, analog design metrics, modeling, CNT
18Biswajit Ray, Santanu Mahapatra A New Threshold Voltage Model for Omega Gate Cylindrical Nanowire Transistor. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18S. Raja 0002, F. Varadi, Murat R. Becer, Joao Geada Transistor level gate modeling for accurate and fast timing, noise, and power analysis. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF gate modeling, timing, statistical, crosstalk, multi threaded
18Paulo F. Butzen, André Inácio Reis, Chris H. Kim, Renato P. Ribas Modeling Subthreshold Leakage Current in General Transistor Networks. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Saleh Abdel-Hafeez, Shadi M. Harb, William R. Eisenstadt A low-power CAM using a 12-transistor design cell. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Yiming Li 0005, Chih-Hong Hwang, Shao-Ming Yu Numerical Simulation of Static Noise Margin for a Six-Transistor Static Random Access Memory Cell with 32nm Fin-Typed Field Effect Transistors. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF computational statistics, SRAM, modeling and simulation, FinFET
18Asha Balijepalli, Saurabh Sinha, Yu Cao Compact modeling of carbon nanotube transistor for early stage process-design exploration. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optimum delay, schottky-barrier, surface potential, modeling, process variability, CNT
18Martin Saint-Laurent, Baker Mohammad, Paul Bassett A 65-nm pulsed latch with a single clocked transistor. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low voltage swing, minimum clock power, pulsed latch, virtual-ground clocking
18Paul E. Hasler, Scott Koziol, Ethan Farquhar, Arindam Basu Transistor Channel Dendrites implementing HMM classifiers. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Afshin Nourivand, Chunyan Wang 0004, M. Omair Ahmad An Adaptive Sleep Transistor Biasing Scheme for Low Leakage SRAM. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Kanupriya Gulati, Nikhil Jayakumar, Sunil P. Khatri A Structured ASIC Design Approach Using Pass Transistor Logic. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Chanseok Hwang, Peng Rong, Massoud Pedram Sleep transistor distribution in row-based MTCMOS designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage minimization, placement, MTCMOS
18Takashi Sato, Takumi Uezono, Shiho Hagiwara, Kenichi Okada, Shuhei Amakawa, Noriaki Nakayama, Kazuya Masu A MOS Transistor-Array for Accurate Measurement of Subthreshold Leakage Variation. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Benoit Dubois, Jean-Baptiste Kammerer, Luc Hébrard, Francis Braun Analytical Modeling of Hot-Carrier Induced Degradation of MOS Transistor for Analog Design for Reliability. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Narendra Devta-Prasanna, Arun Gunda, P. Krishnamurthy, Sudhakar M. Reddy, Irith Pomeranz A Unified Method to Detect Transistor Stuck-Open Faults and Transition Delay Faults. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Yu Wang 0002, Yongpan Liu, Rong Luo, Huazhong Yang Genetic Algorithm Based Fine-Grain Sleep Transistor Insertion Technique for Leakage Optimization. Search on Bibsonomy ICNC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Martin Trefzer, Jörg Langeheine, Karlheinz Meier, Johannes Schemmel A Modular Framework for the Evolution of Circuits on Configurable Transistor Array Architectures. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Maryam Etezad, Mojtaba Kahrizi Schottky Barrier Carbon Nanotube Field Effect Transistor: Electronic Characterizations. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18P. W. Chandana Prasad, Bruce Mills, Ali Assi 0001, S. M. N. Arosha Senanayake, V. C. Prasad Evaluation time Estimation for Pass Transistor Logic circuits. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18M. Emadi, Amir Jafargholi, M. H. Sargazi Moghadam, Mohammad Mahdi Nayebi Optimum Supply and Threshold Voltages and Transistor Sizing Effects on Low Power SOI Circuit Design. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Shen-Fu Hsiao, Ming-Yu Tsai, Chia-Sheng Wen Efficient Pass-Transistor-Logic Synthesis for Sequential Circuits. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Yu Wang 0002, Hui Wang 0004, Huazhong Yang Fine-grain Sleep Transistor Placement Considering Leakage Feedback Gate. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Shekhar Borkar Designing Reliable Systems from Unreliable Components: The Challenges of Transistor Variability and Degradation. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Hardware Computer System Organization
18Rupesh S. Shelar, Sachin S. Sapatnekar BDD decomposition for delay oriented pass transistor logic synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Rob Roy, Debashis Bhattacharya, Vamsi Boppana Transistor-Level Optimization of Digital Designs with Flex Cells. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ASIC design methodology, integrated circuit design, power optimization, design and test, high-performance design
18Adrian Stoica, Ricardo Salem Zebulum, Didier Keymeulen, Taher Daud Transistor-Level Circuit Experiments Using Evolvable Hardware. Search on Bibsonomy IWINAC (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Yiming Li 0005 Application of Parallel Adaptive Computing Technique to Polysilicon Thin-Film Transistor Simulation. Search on Bibsonomy HPCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Junichi Hirase, Tatsuya Furukawa Chip Identification using the Characteristic Dispersion of Transistor. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Daniel Mesquita, Jean-Denis Techer, Lionel Torres, Gilles Sassatelli, Gaston Cambon, Michel Robert, Fernando Moraes 0001 Current mask generation: a transistor level security against DPA attacks. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cryptography, side channel attacks, DPA, countermeasures
18Heng-Ming Hsu, Tai-Hsing Lee Optimum quiescent point of integrated power CMOS transistor for wireless portable applications. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Shen-Fu Hsiao, Ming-Yu Tsai, Ming-Chih Chen, Chia-Sheng Wen An efficient pass-transistor-logic synthesizer using multiplexers and inverters only. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Phil Corbishley, David G. Haigh Rules for systematic synthesis of all-transistor analogue circuits by admittance matrix expansion. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Ananth Somayaji Goda, Gautam Kapila Design For Degradation : CAD Tools for Managing Transistor Degradation Mechanisms. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Stefan Dilhaire, Stéphane Grauby, Sébastien Jorez, Wilfrid Claeys Strain energy imaging of a power MOS transistor using speckle interferometry. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Fabricio B. Bastian, Cristiano Lazzari, José Luís Almada Güntzel, Ricardo Reis 0001 A New Transistor Folding Algorithm Applied to an Automatic Full-Custom Layout Generation Tool. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Kuo-Hsing Cheng, Shun-Wen Cheng, Che-Yu Liao 64-bit Low Threshold Voltage High-Speed Conditional Carry Adder by Complementary Pass-Transistor Logi. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CPL, conditional sum adder, low-threshold voltage, differential-end, VLSI design, low-voltage
18Hafiz Md. Hasan Babu, Moinul Islam Zaber, Md. Mazder Rahman, Md. Rafiqul Islam 0001 Implementation of Multiple-Valued Flip-Flips Using Pass Transistor Logic. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Hamilton Klimach, Alfredo Arnaud, Márcio C. Schneider, Carlos Galup-Montoro Characterization of MOS transistor current mismatch. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF matching, analog design, MOSFET, mismatch, compact models
18Soo Jin Park, Byoung Hee Yoon, Kwang Sub Yoon, Heung Soo Kim Design of Quaternary Logic Gate Using Double Pass-Transistor Logic with Neuron MOS Down Literal Circuit. Search on Bibsonomy ISMVL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Katsuhiko Degawa, Takafumi Aoki, Tatsuo Higuchi 0001, Hiroshi Inokawa, Yasuo Takahashi A Single-Electron-Transistor Logic Gate Family and Its Application - Part I: Basic Components for Binary, Multiple-Valued and Mixed-Mode Logic. Search on Bibsonomy ISMVL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Hiroshi Inokawa, Yasuo Takahashi, Katsuhiko Degawa, Takafumi Aoki, Tatsuo Higuchi 0001 A Single-Electron-Transistor Logic Gate Family and Its Application - Part II: Design and Simulation of a 7-3 Parallel Counter with Linear Summation and Multiple-Valued Latch Functions. Search on Bibsonomy ISMVL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Anup Kumar Sultania, Dennis Sylvester, Sachin S. Sapatnekar Transistor and Pin Reordering for Gate Oxide Leakage Reduction in Dual T{ox} Circuits. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Paul D. Smith, David W. Graham, Ravi Chawla, Paul E. Hasler A five-transistor bandpass filter element. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Eren Kursun, Soheil Ghiasi, Majid Sarrafzadeh Transistor Level Budgeting for Power Optimization. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Arturo Hernández Aguirre, Ricardo Salem Zebulum, Carlos A. Coello Coello Evolutionary Multiobjective Design targeting a Field Programmable Transistor Array. Search on Bibsonomy Evolvable Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18David M. Binkley, C. E. Hopper, Steve D. Tucker, Brian C. Moss, James M. Rochelle, Daniel Foty A CAD methodology for optimizing transistor current and sizing in analog CMOS design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Md. Rafiqul Islam 0001, Hafiz Md. Hasan Babu, Mohammad Abdur Rahim Mustafa, Md. Sumon Shahriar A Heuristic Approach for Design of Easily Testable PLAs Using Pass Transistor Logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18G. Gautier, Samuel Crand, Olivier Bonnaud Dynamic electrical characterization of CMOS-like Thin Film Transistor circuits. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18E. Kinvi-Boh, M. Aline, Olivier Sentieys, Edgar "Dan" Olson MVL circuit design and characterization at the transistor level using SUS-LOC. Search on Bibsonomy ISMVL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Ali Abbasian, S. H. Rasouli, Ali Afzali-Kusha, Mehrdad Nourani No-race charge recycling complementary pass transistor logic (NCRCPL) for low power applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Mutlu Avci, Tülay Yildirim A coding method for 123 decision diagram pass transistor logic circuit synthesis. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Yi Zhao, Sujit Dey Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Geun Rae Cho, Tom Chen 0001 Comparative Assessment of Adaptive Body-Bias SOI Pass-Transistor Logic. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Derek Wright, Manoj Sachdev Transistor-Level Fault Analysis and Test Algorithm Development for Ternary Dynamic Content Addressable Memorie. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Alexis Landrault, Ludovic Pellier, Alexandre Richard, Christian Jay, Michel Robert, Daniel Auvergne Transistor Level Synthesis Dedicated to Fast I.P. Prototyping. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Mahesh Ketkar, Sachin S. Sapatnekar Standby power optimization via transistor sizing and dual threshold voltage assignment. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Mezyad M. Amourah, Randall L. Geiger All digital transistor high gain operational amplifier using positive feedback technique. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18André Ivanov, Sumbal Rafiq, Michel Renovell, Florence Azaïs, Yves Bertrand On the detectability of CMOS floating gate transistor faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Masanori Hashimoto, Hidetoshi Onodera Post-layout transistor sizing for power reduction in cell-based design. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18A. B. M. Harun-ur Rashid, Mazuhidul Karim, Syed Mahfuzul Aziz Testing complementary pass-transistor logic circuits. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Jonathan Scott Reconciliation of methods for bipolar transistor thermal resistance extraction. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Janusz Zarebski A new electrothermal dynamic macromodel of the power Darlington transistor for SPICE. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Saeid Nooshabadi Modelling of effects of temperature profile in the MOS transistor characteristics. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Mayukh Bhattacharya, Pinaki Mazumder, Ronald J. Lomax Fd-Tlm Electromagnetic Field Simulation Of High-Speed Iii-V Heterojunction Bipolar Transistor Digital Logic Gates. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Kishore Kasamsetty, Mahesh Ketkar, Sachin S. Sapatnekar A new class of convex functions for delay modeling and itsapplication to the transistor sizing problem [CMOS gates]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Christoph Scholl 0001, Bernd Becker 0001 On the Generation of Multiplexer Circuits for Pass Transistor Logic. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Jörg Langeheine, Simon Fölling, Karlheinz Meier, Johannes Schemmel Towards a Silicon Primordial Soup: A Fast Approach to Hardware Evolution with a VLSI Transistor Array. Search on Bibsonomy ICES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Tudor Vinereanu, Sverre Lidholm An improved pass transistor synthesis method for low power, high speed CMOS circuits. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Michael S. Shur, Tor A. Fjeldly, Trond Ytterdal Transistor Modeling for the VDSM Era. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF field effect transistors, parameter extraction, SPICE, device modeling
18Adrian Stoica, Didier Keymeulen, Ricardo Salem Zebulum, Anilkumar P. Thakoor, Taher Daud, Gerhard Klimeck, Y. Jin, Raoul Tawel, Vu Duong Evolution of Analog Circuits on Field Programmable Transistor Arrays. Search on Bibsonomy Evolvable Hardware The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Ranjit K. Dash, T. Pramod, Vinita Vasudevan, M. Ramakrishna 0001 A Transistor Level Placement Tool for Custom Cell Generation. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF simulated annealing, placement
18Vijay Sundararajan, Sachin S. Sapatnekar, Keshab K. Parhi MINFLOTRANSIT: min-cost flow based transistor sizing tool. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Manish Pandey, Randal E. Bryant Exploiting symmetry when verifying transistor-level circuits by symbolic trajectory evaluation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18S. Deuty, C. S. Mitter Transistor paradigm shift required to meet the power demands for microprocessors. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Leonid B. Goldgeisser, Michael M. Green Some two-transistor circuits possess more than three operating points. Search on Bibsonomy ISCAS (5) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Nikolaos Bellas, Ibrahim N. Hajj, Constantine D. Polychronopoulos An analytical, transistor-level energy model for SRAM-based caches. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 4313 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license