The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "IRPS"( http://dblp.L3S.de/Venues/IRPS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/irps

Publication years (Num. hits)
2015 (174) 2018 (172) 2019 (171) 2020 (183) 2021 (141) 2022 (174) 2023 (186)
Publication types (Num. hits)
inproceedings(1194) proceedings(7)
Venues (Conferences, Journals, ...)
IRPS(1201)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1201 publication records. Showing 1201 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Tadeu Mota Frutuoso, Jose Lugo-Alvarez, Xavier Garros, Laurent Brunet, Joris Lacord, Louis Gerrer, Mikaël Cassé, Edoardo Catapano, Claire Fenouillet-Béranger, François Andrieu, Fred Gaillard, Philippe Ferrari Impact of spacer interface charges on performance and reliability of low temperature transistors for 3D sequential integration. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Gang-Jun Kim, Moonjee Yoon, SungHwan Kim, Myeongkyu Eo, Shinhyung Kim, Taehun You, Namhyun Lee, Kijin Kim, Sangwoo Pae The Characterization of Degradation on various SiON pMOSFET transistors under AC/DC NBTI stress. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1James Palmer, Galor Zhang, Justin R. Weber, Cheyun Lin, Christopher Perini, Rahim Kasim Intrinsic Reliability of BEOL interlayer dielectric. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hai Jiang 0005, Jinju Kim, Kihyun Choi, Hyewon Shim, Hyunchul Sagong, Junekyun Park, Hwasung Rhee, Euncheol Lee Time Dependent Variability in Advanced FinFET Technology for End-of-Lifetime Reliability Prediction. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1P. Srinivasan 0002, Fernando Guarin, Shafi Syed, Joris Angelo Sundaram Jerome, Wen Liu, Sameer H. Jain, Dimitri Lederer, Stephen Moss, Paul Colestock, Anirban Bandyopadhyay, Ned Cahoon, Byoung Min, Martin Gall RF Reliability of SOI-based Power Amplifier FETs for mmWave 5G Applications. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Wangxin He, Wonbo Shim, Shihui Yin, Xiaoyu Sun 0001, Deliang Fan, Shimeng Yu, Jae-sun Seo Characterization and Mitigation of Relaxation Effects on Multi-level RRAM based In-Memory Computing. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Liu Yang, Qi Wang 0041, Qianhui Li, Xiaolei Yu, Jing He 0020, Zongliang Huo Efficient Data Recovery Technique for 3D TLC NAND Flash Memory based on WL Interference. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yasuyuki Morishita, Satoshi Maeda Characterization of NMOS-based ESD Protection for Wide-range Pulse Immunity. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Patrick Fiorenza, Salvatore Adamo, Mario Santo Alessandrino, Cettina Bottari, Beatrice Carbone, Clarice Di Martino, Alfio Russo, Mario Saggio, Carlo Venuto, Elisa Vitanza, Edoardo Zanetti, Filippo Giannazzo, Fabrizio Roccaforte Correlation between MOSFETs breakdown and 4H-SiC epitaxial defects. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bruna Mazza, Salvatore Patané, Francesco Cordiano, Massimiliano Giliberto, Giovanni Renna, Andrea Severino, Edoardo Zanetti, Massimo Boscaglia, Giovanni Franco Effect of interface and bulk charges on the breakdown of nitrided gate oxide on 4H-SiC. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhenjun Zhang, Matthias Kraatz, Meike Hauschildt, Seungman Choi, André Clausner, Ehrenfried Zschech, Martin Gall Strategy to Characterize Electromigration Short Length Effects in Cu/low-k Interconnects. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kento Kariya, Atsushi Yumiba, Masaya Ukita, Toru Ikeda, Masaaki Koganemaru, Noriyuki Masago Study of the microstructure and the mechanical properties of Pb-2.5Ag-2Sn solder joint. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sayeef S. Salahuddin Ultrathin Ferroelectricity and Its Application in Advanced Logic and Memory Devices. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Pratik B. Vyas, Ninad Pimparkar, Robert Tu, Wafa Arfaoui, Germain Bossu, Mahesh Siddabathula, Steffen Lehmann, Jung-Suk Goo, Ali B. Icel Reliability-Conscious MOSFET Compact Modeling with Focus on the Defect-Screening Effect of Hot-Carrier Injection. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1John D. Cressler New Developments in SiGe HBT Reliability for RF Through mmW Circuits. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Halid Mulaosmanovic, Patrick D. Lomenzo, Uwe Schroeder, Stefan Slesazeck, Thomas Mikolajick, Benjamin Max Reliability aspects of ferroelectric hafnium oxide for application in non-volatile memories. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Eliana Acurio, Lionel Trojman, Brice De Jaeger, Benoit Bakeroot, Stefaan Decoutere ON-state reliability of GaN-on-Si Schottky Barrier Diodes: Si3N4 vs. Al2O3/SiO2 GET dielectric. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Simon Van Beek, Siddharth Rao, Shreya Kundu, Woojin Kim, Barry J. O'Sullivan, Stefan Cosemans, Farrukh Yasin, Robert Carpenter, Sebastien Couet, Shamin H. Sharifi, Nico Jossart, Davide Crotti, Gouri Sankar Kar Edge-induced reliability & performance degradation in STT-MRAM: an etch engineering solution. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Nilotpal Choudhury, Tarun Samadder, Ravi Tiwari, Huimei Zhou, Richard G. Southwick, Miaomiao Wang 0006, Souvik Mahapatra Analysis of Sheet Dimension (W, L) Dependence of NBTI in GAA-SNS FETs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Aniket Gupta, Govind Bajpai, Priyanshi Singhal, Navjeet Bagga, Om Prakash 0007, Shashank Banchhor, Hussam Amrouch, Nitanshu Chauhan Traps Based Reliability Barrier on Performance and Revealing Early Ageing in Negative Capacitance FET. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Davide Tierno, Kristof Croes, Arjun Ajaykumar, Siva Ramesh, Geert Van den Bosch, Maarten Rosmeulen Reliability of Mo as Word Line Metal in 3D NAND. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1P. Srinivasan 0002, Da Song, David Rose, Maurice LaCroix, Arunima Dasgupta Back gate bias effect and layout dependence on Random Telegraph Noise in FDSOI technologies. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jin-Woo Han, M. Meyyappan, Jungsik Kim Single Event Hard Error due to Terrestrial Radiation. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Balraj Arunachalam, Jean-Emmanuel Broquin, Quentin Rafhay, David Roy, Anne Kaminski Simulation Study of the Origin of Ge High Speed Photodetector Degradation. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yasunori Tateno, Ken Nakata, Akio Oya, Keita Matsuda, Yoshihide Komatsu, Shinichi Osada, Masafumi Hirata, Shigeyuki Ishiyama, Toshiki Yoda, Atsushi Nitta, Tomio Sato Investigation of the Failure Mechanism of InGaAs-pHEMT under High Temperature Operating Life Tests. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Xavier Federspiel, Abdourahmane Camara, Audrey Michard, Cheikh Diouf, Florian Cacho HCI Temperature sense effect from 180nm to 28nm nodes. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Gaspard Hiblot, Nouredine Rassoul, Lieve Teugels, Katia Devriendt, Adrian Vaisman Chasin, Michiel van Setten, Attilio Belmonte, Romain Delhougne, Gouri Sankar Kar Process-induced charging damage in IGZO nTFTs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yan Ouyang, Suhui Yang, Dandan Yin, Xiang Huang, Zhiqiang Wang, Shengwei Yang, Kun Han, Zhongyi Xia Excellent Reliability of Xtacking™ Bonding Interface. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sumy Jose, Chunshan Yin, Yu Chen, Cheong Min Hong, Mehul D. Shroff, Xiaoling Zhao, Fan Zhang An efficient methodology to evaluate BEOL and MOL TDDB in advanced nodes. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Seung-Mo Kim, Thi Mi Hanh Nyugen, Jungwon Oh, Yongsu Lee, Soo Cheol Kang, Ho-In Lee, Cihyun Kim, Surajit Some, Hyeon Jun Hwang, Byoung Hun Lee Drastic reliability improvement using H2O2/UV treatment of HfO2 for heterogeneous integration. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ning Duan, Vignesh Subramanian, Edgar Olthof, Paul Eggenkamp, Michiel van Soestbergen, Richard Braspenning Moisture diffusion rate in an ultra-low-k dielectric and its effect on the dielectric reliability. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jifa Hao, Yuhang Sun, Amartya Ghosh Charge pumping source-drain current for gate oxide interface trap density in MOSFETs and LDMOS. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Matchima Buddhanoy, Sadman Sakib, Biswajit Ray Runtime Variability Monitor for Data Retention Characteristics of Commercial NAND Flash Memory. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1NamHyuk Yang, JinHwan Kim, GeonGu Park, ChulHyuk Kwon, SeungTaek Lee, SangWoo Pae, HooSung Kim, SangWon Hwang A Study on System Level UFS M-PHY Reliability Measurement Method Using RDVS. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Robin Degraeve, Taras Ravsher, Shoichi Kabuyanagi, Andrea Fantini, Sergiu Clima, Daniele Garbin, Gouri Sankar Kar Modeling and spectroscopy of ovonic threshold switching defects. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Moon Soo Lee, Inhak Baick, Min Kim, Seo Hyun Kwon, Myeong Soo Yeo, Hwasung Rhee, Euncheol Lee Chip to Package Interaction Risk Assessment of FCBGA Devices using FEA Simulation, Meta-Modeling and Multi-Objective Genetic Algorithm Optimization Technique. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Milan Shah, Yujie Zhou, David LaFonteese, Elyse Rosenbaum Considerations in High Voltage Lateral ESD PNP Design. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Maria Toledano-Luque, Peter C. Paliwoda, Mohamed Nour, Thomas Kauerauf, Byoung Min, Germain Bossu, Mahesh Siddabathula, Tanya Nigam Off-state TDDB in FinFET Technology and its Implication for Safe Operating Area. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Kevin A. Stewart, Keiichi Kimura, Matt Ring, Koen Noldus, Pat Hulse, Rick C. Jerome, Akihiro Hasegawa, Jeff P. Gambino, David T. Price Assessing SiCr resistor drift for automotive analog ICs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tommaso Zanotti, Francesco Maria Puglisi, Paolo Pavan Low-Bit Precision Neural Network Architecture with High Immunity to Variability and Random Telegraph Noise based on Resistive Memories. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1John Scarpulla Guidelines for Space Qualification of GaN HEMTs and MMICs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yongju Zheng, Rahul R. Potera, Tony Witt Characterization of Early Breakdown of SiC MOSFET Gate Oxide by Voltage Ramp Tests. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yung-Huei Lee, P. J. Liao, Vincent Hou, Dawei Heh, Chih-Hung Nien, Wen-Hsien Kuo, Gary T. Chen, Shao-Ming Yu, Yu-Sheng Chen, Jau-Yi Wu, Xinyu Bao, Carlos H. Diaz Composition Segregation of Ge-Rich GST and Its Effect on Reliability. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Wonbo Shim, Jian Meng, Xiaochen Peng, Jae-sun Seo, Shimeng Yu Impact of Multilevel Retention Characteristics on RRAM based DNN Inference Engine. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Emma R. Schmidgall, Flavio Griggio, George H. Thiel, Sherman E. Peek, Bhargav Yelamanchili, Archit Shah, Vaibhav Gupta, John A. Sellers, Michael C. Hamilton, David B. Tuckerman, Samuel d'Hollosy Reliability Characterization of a Flexible Interconnect for Cryogenic and Quantum Applications. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Lixia Han, Yachen Xiang, Peng Huang 0004, Guihai Yu, Runze Han, Xiaoyan Liu, Jinfeng Kang Novel Weight Mapping Method for Reliable NVM based Neural Network. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chao-Yang Chen, Jian-Hsing Lee, Karuna Nidhi, Tzer-Yaa Bin, Geeng-Lih Lin, Ming-Dou Ker Study on the Guard Rings for Latchup Prevention between HV-PMOS and LV-PMOS in a 0.15-µm BCD Process. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1W. Y. Yang, E. R. Hsieh, C. H. Cheng, B. Y. Chen, K. S. Li, Steve S. Chung A Reliable Triple-Level Operation of Resistive-Gate Flash Featuring Forming-Free and High Immunity to Sneak Path. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Konner E. K. Holden, Gavin D. R. Hall, Michael Cook 0004, Chris Kendrick, Kaitlyn Pabst, Bruce Greenwood, Robin Daugherty, Jeff P. Gambino, Derryl D. J. Allman Dielectric Relaxation, Aging and Recovery in High-K MIM Capacitors. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1P. Srinivasan 0002, Fernando Guarin CMOS RF reliability for 5G mmWave applications - Challenges and Opportunities. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Dongyoung Kim, Nick Yun, Woongje Sung Advancing Static Performance and Ruggedness of 600 V SiC MOSFETs: Experimental Analysis and Simulation Study. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Abhitosh Vais, Brent Hsu, Olga Syshchyk, Hao Yu, AliReza Alian, Yves Mols, Komal Vondkar Kodandarama, Bernardette Kunert, Niamh Waldron, Eddy Simoen, Nadine Collaert A defect characterization technique for the sidewall surface of Nano-ridge and Nanowire based Logic and RF technologies. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Vamsi Putcha, Liang Cheng, AliReza Alian, Ming Zhao, Hai Lu, Bertrand Parvais, Niamh Waldron, Dimitri Linten, Nadine Collaert On the impact of buffer and GaN-channel thickness on current dispersion for GaN-on-Si RF/mmWave devices. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tidjani Garba-Seybou, Xavier Federspiel, Alain Bravaix, Florian Cacho Analysis of the interactions of HCD under "On" and "Off" state modes for 28nm FDSOI AC RF modelling. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Rakesh Ranjan, Ki-Don Lee, Md Iqbal Mahmud, Mohammad Shahriar Rahman, Pavitra Ramadevi Perepa, Charles Briscoe LaRow, Caleb Dongkyun Kwon, Maihan Nguyen, Minhyo Kang, Ashish Kumar Jha, Ahmed Shariq, Shamas Musthafa Ummer, Susannah Laure Prater, Hyunchul Sagong, HwaSung Rhee Systematic Study of Process Impact on FinFET Reliability. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Neel Chatterjee, John Ortega 0002, Inanc Meric, Peng Xiao, Ilan Tsameret Machine Learning On Transistor Aging Data: Test Time Reduction and Modeling for Novel Devices. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Elena Fabris, Matteo Borga, Niels Posthuma, Ming Zhao, Brice De Jaeger, Shuzhen You, Stefaan Decoutere, Matteo Meneghini, Gaudenzio Meneghesso, Enrico Zanoni Vertical stack reliability of GaN-on-Si buffers for low-voltage applications. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yang Xiang, Stanislav Tyaginov, Michiel Vandemaele, Zhicheng Wu, Jacopo Franco, Erik Bury, Brecht Truijen, Bertrand Parvais, Dimitri Linten, Ben Kaczer A BSIM-Based Predictive Hot-Carrier Aging Compact Model. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1 IEEE International Reliability Physics Symposium, IRPS 2021, Monterey, CA, USA, March 21-25, 2021 Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yoni Xiong, Alexandra Feeley, Lloyd W. Massengill, Bharat L. Bhuva, Shi-Jie Wen, Rita Fung Frequency, LET, and Supply Voltage Dependence of Logic Soft Errors at the 7-nm Node. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Alicja Lesniewska, Olalla Varela Pedreira, Melina Lofrano, Gayle Murdoch, Marleen H. van der Veen, Anish Dangol, Naoto Horiguchi, Zsolt Tökei, Kris Croes Reliability of a DME Ru Semidamascene scheme with 16 nm wide Airgaps. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ryo Kishida, Ikuo Suda, Kazutoshi Kobayashi Bias Temperature Instability Depending on Body Bias through Buried Oxide (BOX) Layer in a 65 nm Fully-Depleted Silicon-On-Insulator Process. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Wei Liu, Yaru Ding, Liang Zhao, Yi Zhao Nanosecond-scale and self-heating free characterization of advanced CMOS transistors utilizing wave reflection. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Balaji Narasimham, Vikas Chaudhary, Mike Smith, Liming Tsau, Dennis R. Ball, Bharat L. Bhuva Scaling Trends in the Soft Error Rate of SRAMs from Planar to 5-nm FinFET. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Rajesh Kashyap Silicon lifecycle management (SLM) with in-chip monitoring. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Sagar Premnath Karalkar, Vishal Ganesan, Milova Paul, Kyong Jin Hwang, Robert Gauthier 0002 Design Optimization of MV-NMOS to Improve Holding Voltage of a 28nm CMOS Technology ESD Power Clamp. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tarun Samadder, Satyam Kumar 0003, Karansingh Thakor, Souvik Mahapatra A Theoretical Framework for Trap Generation and Passivation in NAND Flash Tunnel Oxide During Distributed Cycling and Retention Bake. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1M. Monishmurali, Mayank Shrivastava A Novel High Voltage Drain Extended FinFET SCR for SoC Applications. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Subrat Mishra, Pieter Weckx, Odysseas Zografos, Ji-Yung Lin, Alessio Spessot, Francky Catthoor Overhead Reduction with Optimal Margining Using A Reliability Aware Design Paradigm. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Angeliki Tataridou, Gérard Ghibaudo, Christoforos G. Theodorou "Pinch to Detect": A Method to Increase the Number of Detectable RTN Traps in Nano-scale MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Maximilian Dammann, Martina Baeumler, Tobias Kemmer, Helmer Konstanzer, Peter Brückner, Sebastian Krause, Andreas Graff, Michél Simon-Najasek Reliability and Failure Analysis of 100 nm AlGaN/GaN HEMTs under DC and RF Stress. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ooi Michael, Loo Tung Lun, Koay Eng Keong Methodology to improve Safety Critical SoC based platform: a case study. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Joel Minguet Lopez, Lucas Hudeley, Laurent Grenouillet, Diego Alfaro Robayo, Jury Sandrini, Gabriele Navarro, Mathieu Bernard, Catherine Carabasse, Damien Deleruyelle, Niccolo Castellani, Marc Bocquet, Jean-Michel Portal, Etienne Nowak, Gabriel Molas Elucidating 1S1R operation to reduce the read voltage margin variability by stack and programming conditions optimization. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Taiki Uemura, Byungjin Chung, Jeongmin Jo, Mijoung Kim, Dalhee Lee, Gunrae Kim, Seungbae Lee, Taesjoong Song, Hwasung Rhee, Brandon Lee, Jaehee Choi Soft-Error Susceptibility in Flip-Flop in EUV 7 nm Bulk-FinFET Technology. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Huimei Zhou, Miaomiao Wang 0006, Ruqiang Bao, Tian Shen, Ernest Y. Wu, Richard G. Southwick, Jingyun Zhang, Veeraraghavan S. Basker, Dechao Guo TDDB Reliability in Gate-All-Around Nanosheet. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Gyusung Park, Hanzhao Yu, Minsu Kim, Chris H. Kim An All BTI (N-PBTI, N-NBTI, P-PBTI, P-NBTI) Odometer based on a Dual Power Rail Ring Oscillator Array. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Houman Zahedmanesh, Olalla Varela Pedreira, Zsolt Tokei, Kristof Croes Electromigration limits of copper nano-interconnects. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Marcello Cioni, Alessandro Bertacchini, Alessandro Mucci, Giovanni Verzellesi, Paolo Pavan, Alessandro Chini Investigation on VTH and RON Slow/Fast Drifts in SiC MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Gokul Krishnan, Jingbo Sun, Jubin Hazra, Xiaocong Du, Maximilian Liehr, Zheng Li 0020, Karsten Beckmann, Rajiv V. Joshi, Nathaniel C. Cady, Yu Cao 0001 Robust RRAM-based In-Memory Computing in Light of Model Stability. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Thomas Neyer, Martin Domeij, Hrishikesh Das, Swapna Sunkari Is there a perfect SiC MosFETs Device on an imperfect crystal? Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Paul Salmen, Maximilian W. Feil, Katja Waschneck, Hans Reisinger, Gerald Rescher, Thomas Aichinger A new test procedure to realistically estimate end-of-life electrical parameter stability of SiC MOSFETs in switching operation. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Milan Pesic, Bastien Beltrando, Andrea Padovani, Shruba Gangopadhyay, Muthukumar Kaliappan, Michael Haverty, Marco A. Villena, Enrico Piccinini, Matteo Bertocchi, Tony Chiang, Luca Larcher, Jack Strand, Alexander L. Shluger Variability sources and reliability of 3D - FeFETs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shudong Huang, Elyse Rosenbaum Compact Model of ESD Diode Suitable for Subnanosecond Switching Transients. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Michiel Vandemaele, Ben Kaczer, Stanislav Tyaginov, Jacopo Franco, Robin Degraeve, Adrian Vaisman Chasin, Zhicheng Wu, Erik Bury, Yang Xiang, Hans Mertens, Guido Groeseneken The properties, effect and extraction of localized defect profiles from degraded FET characteristics. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Dimple Kochar, Tarun Samadder, Subhadeep Mukhopadhyay 0003, Souvik Mahapatra Modeling of HKMG Stack Process Impact on Gate Leakage, SILC and PBTI. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shy-Jay Lin, Yen-Lin Huang, MingYaun Song, Chien-Ming Lee, Fen Xue, Guan-Long Chen, Shan-Yi Yang, Yao-Jen Chang, I-Jung Wang, Yu-Chen Hsin, Yi-Hui Su, Jeng-Hua Wei, Chi-Feng Pai, Shan X. Wang, Carlos H. Diaz Challenges toward Low-Power SOT-MRAM. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Robert L. Bruce, Syed Ghazi Sarwat, Irem Boybat, Cheng-Wei Cheng, Wanki Kim, S. R. Nandakumar, Charles Mackin, Timothy Philip, Zuoguang Liu, Kevin Brew, Nanbo Gong, Injo Ok, Praneet Adusumilli, Katie Spoon, Stefano Ambrogio, Benedikt Kersting, Thomas Bohnstingl, Manuel Le Gallo, Andrew Simon, Ning Li, Iqbal Saraf, Jin-Ping Han, Lynne M. Gignac, John M. Papalia, Tenko Yamashita, Nicole Saulnier, Geoffrey W. Burr, Hsinyu Tsai, Abu Sebastian, Vijay Narayanan, Matthew BrightSky Mushroom-Type phase change memory with projection liner: An array-level demonstration of conductance drift and noise mitigation. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shengnan Zhu, Tianshi Liu, Marvin H. White, Anant K. Agarwal, Arash Salemi, David Sheridan Investigation of Gate Leakage Current Behavior for Commercial 1.2 kV 4H-SiC Power MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shinhee Han, Junghyuk Lee, Kiseok Suh, Kyungtae Nam, Daeeun Jeong, Sechung Oh, Sohee Hwang, Yongsung Ji, Kilho Lee, Kangho Lee, Yoonjong Song, Yeongki Hong, Gitae Jeong Reliability of STT-MRAM for various embedded applications. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Giusy Lama, Mathieu Bernard, Nicolas Bernier, Guillaume Bourgeois, Emmanuel Nolot, Niccolo Castellani, Julien Garrione, Marie Claire Cyrille, Gabriele Navarro, Etienne Nowak Multilevel Programming Reliability in Si-doped GeSbTe for Storage Class Memory. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1James Farmer, William Whitehead, Andrew Hall, Dmitry Veksler, Gennadi Bersuker, David Z. Gao, Al-Moatasem El-Sayed, Thomas Durrant, Alexander L. Shluger, Thomas Rueckes, Lee Cleveland, Harry Luan, Rahul Sen Mitigating switching variability in carbon nanotube memristors. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tonmoy Dhar, Jitesh Poojary, Ramesh Harjani, Sachin S. Sapatnekar Aging of Current DACs and its Impact in Equalizer Circuits. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Gerhard Rzepa, Markus Karner, Oskar Baumgartner, Georg Strof, Franz Schanovsky, Ferdinand Mitterbauer, Christian Kernstock, Hui-Wen Karner, Pieter Weckx, Geert Hellings, Dieter Claes, Zhicheng Wu, Yang Xiang, Thomas Chiarella, Bertrand Parvais, Jérôme Mitard, Jacopo Franco, Ben Kaczer, Dimitri Linten, Zlatan Stanojevic Reliability and Variability-Aware DTCO Flow: Demonstration of Projections to N3 FinFET and Nanosheet Technologies. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Zhwen Chen, Young-Suk Kim, Tadashi Fukuda, Koji Sakui, Takayuki Ohba, Tatsuji Kobayashi, Takashi Obara Reliability of Wafer-Level Ultra-Thinning down to 3 µm using 20 nm-Node DRAMs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Ming-Liang Wei, Hussam Amrouch, Cheng-Lin Sung, Hang-Ting Lue, Chia-Lin Yang, Keh-Chung Wang, Chih-Yuan Lu Robust Brain-Inspired Computing: On the Reliability of Spiking Neural Network Using Emerging Non-Volatile Synapses. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Hao Chang, Longda Zhou, Hong Yang, Zhigang Ji, Qianqian Liu, Eddy Simoen, Huaxiang Yin, Wenwu Wang 0006 Comparative Study on the Energy Distribution of Defects under HCD and NBTI in Short Channel p-FinFETs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Shanmuganathan Palanisamy, Thomas Basler, Josef Lutz, Cesare Künzel, Larissa Wehrhahn-Kilian, Rudolf Elpelt Investigation of the bipolar degradation of SiC MOSFET body diodes and the influence of current density. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Salvatore Race, Thomas Ziemann, Shweta Tiwari, Ivana Kovacevic-Badstuebner, Ulrike Grossner Accuracy of Thermal Analysis for SiC Power Devices. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Guido T. Sasse, Vignesh Subramanian, Ljubo Radic Aging models for n- and p-type LDMOS covering low, medium and high VGS operation. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Aarti Rathi, P. Srinivasan 0002, Fernando Guarin, Abhisek Dixit Large Signal RF Reliability of 45-nm RFSOI Power Amplifier Cell for Wi-Fi6 Applications. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 1201 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license