The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for faults with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1972 (16) 1973-1974 (15) 1975-1976 (17) 1977-1978 (20) 1979-1980 (31) 1981-1982 (24) 1983 (17) 1984 (16) 1985 (31) 1986 (43) 1987 (27) 1988 (63) 1989 (72) 1990 (99) 1991 (105) 1992 (122) 1993 (147) 1994 (156) 1995 (232) 1996 (251) 1997 (233) 1998 (231) 1999 (308) 2000 (368) 2001 (310) 2002 (434) 2003 (488) 2004 (575) 2005 (646) 2006 (726) 2007 (732) 2008 (660) 2009 (452) 2010 (232) 2011 (178) 2012 (181) 2013 (220) 2014 (226) 2015 (230) 2016 (263) 2017 (309) 2018 (293) 2019 (363) 2020 (363) 2021 (428) 2022 (401) 2023 (422) 2024 (117)
Publication types (Num. hits)
article(4437) book(1) data(5) incollection(32) inproceedings(7361) phdthesis(56) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 10002 occurrences of 3019 keywords

Results
Found 11893 publication records. Showing 11893 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Irith Pomeranz, Sudhakar M. Reddy Static compaction for two-pattern test sets. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF two-pattern test sets, static compaction procedure, test set size reduction, redundant tests removal, redundant patterns removal, CMOS stuck open faults, reordering of tests, digital logic circuits, fault diagnosis, logic testing, delays, built-in self test, integrated circuit testing, ATPG, combinational circuits, combinational circuits, automatic testing, fault coverage, CMOS logic circuits, delay faults
23O. A. Petlin, Stephen B. Furber Scan testing of asynchronous sequential circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF micropipeline design style, combinational block, state holding elements, standard test generation techniques, VLSI, logic testing, delays, integrated circuit testing, logic design, sequential circuits, asynchronous circuits, integrated logic circuits, delay faults, boundary scan testing, scan testing, single stuck-at faults, asynchronous sequential logic, asynchronous sequential circuits
23O. A. Petlin, Stephen B. Furber Scan testing of micropipelines. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous VLSI circuit design, AMULET1 microprocessor, scan test technique, data processing blocks, combinational processing logic, state holding elements, test generation techniques, VLSI, logic testing, delays, integrated circuit testing, design for testability, logic design, asynchronous circuits, fault location, integrated circuit design, microprocessor chips, delay faults, boundary scan testing, computer testing, test patterns, single stuck-at faults, micropipelines
22Michael Crocker, Xiaobo Sharon Hu, Michael T. Niemier Defects and faults in QCA-based PLAs. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF logic mapping, Nanotechnology, faults, defects, quantum-dot cellular automata
22Bogdan Tomoyuki Nassu, Takashi Nanya Interaction Faults Caused by Third-Party External Systems - A Case Study and Challenges. Search on Bibsonomy ISAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Interaction Faults, Error Detection, Fault Model, Communication Protocols, Case Study
22Stephan Eggersglüß, Rolf Drechsler On the Influence of Boolean Encodings in SAT-Based ATPG for Path Delay Faults. Search on Bibsonomy ISMVL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Boolean Encodings, ATPG, SAT, Path Delay Faults
22Kyriakos Christou, Maria K. Michael, Paolo Bernardi, Michelangelo Grosso, Ernesto Sánchez 0001, Matteo Sonza Reorda A Novel SBST Generation Technique for Path-Delay Faults in Microprocessors Exploiting Gate- and RT-Level Descriptions. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SBST, path-delay faults, microprocessor test
22Hafizur Rahaman 0001, Dipak Kumar Kole, Debesh Kumar Das, Bhargab B. Bhattacharya On the Detection of Missing-Gate Faults in Reversible Circuits by a Universal Test Set. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Missing-gate faults, quantum computing, reversible logic, testable design, universal test set
22Martin Omaña 0001, Daniele Rossi 0001, Cecilia Metra Latch Susceptibility to Transient Faults and New Hardening Approach. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Static Latch, Hardened Latch, Soft Errors, Transient Faults, Robust Design
22Lorenzo Petroli, Carlos Arthur Lang Lisbôa, Fernanda Lima Kastensmidt, Luigi Carro Using majority logic to cope with long duration transient faults. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF long duration transient faults, majority logic
22Jong-Hoon Youn, Bella Bose, Seungjin Park Fault-Tolerant Routing Algorithm in Meshes with Solid Faults. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF solid faults, fault-tolerant, wormhole routing, mesh networks
22Feng Shi 0010, Yiorgos Makris Testing delay faults in asynchronous handshake circuits. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF test generation, asynchronous circuits, delay faults, handshake circuits
22Colin Cooper, Ralf Klasing, Tomasz Radzik Searching for Black-Hole Faults in a Network Using Multiple Agents. Search on Bibsonomy OPODIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF black hole faults, mobile agent, Graph exploration
22Mahilchi Milir Vaseekar Kumar, Spyros Tragoudas Low power test generation for path delay faults using stability functions. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, ATPG, path delay faults
22Mahilchi Milir Vaseekar Kumar, Saravanan Padmanaban, Spyros Tragoudas Low power ATPG for path delay faults. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low power, ATPG, path delay faults, PODEM
22Ad J. van de Goor, Said Hamdioui, Rob Wadsworth Detecting Faults in the Peripheral Circuits and an Evaluation of SRAM Tests. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF address directions, peripheral circuit faults, fault coverage, March tests, data-backgrounds
22S. Amitanand, I. Sanketh, K. Srinathan, Vinod Vaikuntanathan, C. Pandu Rangan Distributed consensus in the presence of sectional faults. Search on Bibsonomy PODC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF sectional faults, distributed consensus
22Zhen Guo Coefficient-based parametric faults detection in analog circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault detection, Monte-Carlo simulation, system identification, parametric faults
22Michele Favalli, Cecilia Metra Bridging Faults in Pipelined Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault modeling, bridging faults, CMOS circuits, pipelined circuits
22Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel Comparison between Random and Pseudo-Random Generation for BIST of Delay, Stuck-at and Bridging Faults. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF BIST, Random Testing, Delay Testing, Bridging Faults
22Claude Thibeault Efficient Diagnosis of Single/Double Bridging Faults with Delta Iddq Probabilistic Signatures and Viterbi Algorithm. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF probabilistic signatures, double faults, Diagnosis, Viterbi algorithm, Delta Iddq
22Koji Yamazaki, Teruhiko Yamada An approach to diagnose logical faults in partially observable sequential circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF logical faults, partially observable sequential circuits, internal nets, error sources, error propagation traceback, failing primary outputs, ISCAS'89 benchmark circuits, fault diagnosis, simulation results, probing, diagnostic resolution
22Nihal J. Godambe, C.-J. Richard Shi Behavioral level noise modeling and jitter simulation of phase-locked loops with faults using VHDL-AMS. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF behavioral level noise modeling, jitter simulation, mixed-signal hardware description language, phase-locked loops, voltage-controlled oscillator, power supply noise, phase noise, VHDL-AMS, catastrophic faults, top down design, integrated circuit noise
22Mark G. Karpovsky, Vyacheslav N. Yarmolik Transparent random access memory testing for pattern sensitive faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF transparent memory testing, pseudoexhaustive memory testing, built-in self-test, memory testing, signature analysis, random access memory, pattern sensitive faults
22Keerthi Heragu, Janak H. Patel, Vishwani D. Agrawal Segment delay faults: a new fault model. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF segment delay faults, delay defect, distributed defect, rising transitions, falling transitions, transition tests, nonrobust tests, VLSI, fault diagnosis, logic testing, delays, integrated circuit testing, fault model, automatic testing, circuit analysis computing, robust tests, integrated circuit modelling, production testing, spot defect, manufacturing defects
22Anastasios Vergis, Carlos Tobon 0002 Testing trees for multiple faults. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF testing trees, combinational logic cells, tree-structured circuits, VLSI, logic testing, integrated circuit testing, design for testability, logic design, combinational circuits, integrated logic circuits, multiple faults, test set size
22Terry Lee, Ibrahim N. Hajj, Elizabeth M. Rudnick, Janak H. Patel Genetic-algorithm-based test generation for current testing of bridging faults in CMOS VLSI circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF GA-based test generators, CMOS VLSI circuits, I/sub DDQ/ current testing, CMOS digital circuits, two-line bridging fault set, compact test set generation, genetic algorithms, VLSI, logic testing, integrated circuit testing, ATPG, automatic test pattern generator, automatic testing, fault location, bridging faults, CMOS digital integrated circuits, adaptive genetic algorithm
22Fei Wang, Yu Hu 0001, Huawei Li 0001, Xiaowei Li 0001 A design- for-diagnosis technique for diagnosing both scan chain faults and combinational circuit faults. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Jin-Fu Li 0001 Testing comparison faults of ternary CAMs based on comparison faults of binary CAMs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Cristiana Bolchini, Antonio Miele, Fabio Rebaudengo, Fabio Salice, Donatella Sciuto, Luca Sterpone, Massimo Violante Software and Hardware Techniques for SEU Detection in IP Processors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Hardware/software techniques, Single-event upset faults, Reliability, Fault injection
21Simon Ogg, Bashir M. Al-Hashimi, Alexandre Yakovlev Asynchronous transient resilient links for NoC. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF point to point link, reliability, network-on-chip, asynchronous, transient faults
21Ronen Gradwohl, Omer Reingold Fault tolerance in large games. Search on Bibsonomy EC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF large games, nash equilibrium, byzantine faults
21Ranjith Purushothaman, Dewayne E. Perry Toward Understanding the Rhetoric of Small Source Code Changes. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Source code changes, one-line changes, fault probabilities, software faults
21Raquel Vigolvino Lopes, Walfredo Cirne, Francisco Vilar Brasileiro Using Process Restarts to Improve Dynamic Provisioning. Search on Bibsonomy DSOM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF software faults, restart, dynamic provisioning, n-tier applications
21Dahlia Malkhi, Michael Merritt, Michael K. Reiter, Gadi Taubenfeld Objects shared by Byzantine processes. Search on Bibsonomy Distributed Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF shared memory, consensus, emulation, Byzantine faults
21Derek Lawson, Gerry Coleman Investigating software measures to improve product reliability. Search on Bibsonomy SAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF metrics, software, quality, process improvement, failures, faults
21Zhen Jiang, Jie Wu 0001 A Limited-Global Fault Information Model for Dynamic Routing in 2-D Meshes. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF 2-D meshes, safety levels, fault tolerance, routing, Dynamic faults
21Matthias Klaus, Ad J. van de Goor Tests for Resistive and Capacitive Defects in Address Decoders. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF address decoders, test conditions, Defects, opens, dynamic faults, capacitive coupling
21Naotake Kamiura, Masashi Tomita, Teijiro Isokawa, Nobuyuki Matsui On Variable-Shift-Based Fault Compensation of Fuzzy Controllers. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fault compensation, fault tolerance, fuzzy control, stuck-at faults, on-line testing
21Charles E. Stroud, James R. Bailey, Johan R. Emmert A New Method for Testing Re-Programmable PLAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF electrically erasable programmable logic array testing, manufacturing test development, bridging faults
21Matthew Worsman, Mike W. T. Wong, Yim-Shu Lee A Pre-Simulation Measure of D.C. Design-for-Testability Fault Diagnosis Quality. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Equivalent faults, One-port circuits, Fault diagnosis, Design for testability, Fault collapsing
21M. A. El-Gamal, Mohamed Fathy Abu El-Yazeed A Combined Clustering and Neural Network Approach for Analog Multiple Hard Fault Classification. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiple hard faults, fault clustering, learning vector quantization neural networks, feature selection, analog circuits, fault classification
21Ad J. van de Goor, J. E. Simonse Defining SRAM Resistive Defects and Their Simulation Stimuli. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Resistive defects, simulation stimuli, SRAM functional faults, SPICE simulation
21Parag K. Lala, Anup Singh, Alvernon Walker A CMOS-Based Logic Cell for the Implementation of Self-Checking FPGAs. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF DCVSL, Stuck-ON/OFF, Stuck-at Faults, Self-testing
21Antonis M. Paschalis, Dimitris Gizopoulos, Nikolaos Gaitanis Concurrent Delay Testing in Totally Self-Checking Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF concurrent on-line detection, duplication systems, path delay faults, totally self-checking circuits, error indicators
21Vijay R. Sar-Dessai, D. M. H. Walker Accurate Fault Modeling and Fault Simulation of Resistive Bridges. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF realistic bridges, zero-ohm bridges, Resistive bridging faults, low-voltage testing
21Subhashis Majumder, Michael L. Bushnell, Vishwani D. Agrawal Path Delay Testing: Variable-Clock Versus Rated-Clock. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF rated-clock testing, slow-clock testing, Delay testing, path delay faults, sequential circuit test
21Walter W. Weber, Adit D. Singh Incorporating IDDQ Testing with BIST for Improved Coverage: An Experimental Study. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF open faults, BIST, fault coverage, built in current sensor, BICS, I DDQ
21Lech Józwiak On the use of term trees for effective and efficient test pattern generation. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF term trees, structural automatic test pattern generation, fault discovery, structural fault model, term tree based ATPG algorithm, nonredundant faults, minimal test set, circuit redundancy, logic design, fault model, data representation, automatic test software
21Richard A. DeMillo, Hsin Pan, Eugene H. Spafford Critical Slicing for Software Fault Localization. Search on Bibsonomy ISSTA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF critical slicing, static program slicing, testing, debugging, failures, faults, fault localization, mutation analysis, dynamic program slicing
21Nikolaos Gaitanis, Dimitris Gizopoulos, Antonis M. Paschalis, Panagiotis Kostarakis An asynchronous totally self-checking two-rail code error indicator. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF asynchronous TSC error indicator, totally self-checking error indicator, concurrent detection, two-rail code error indicator, CMOS implementation, VLSI, logic testing, delays, integrated circuit testing, error detection, automatic testing, asynchronous circuits, CMOS logic circuits, delay faults
21Jwu E. Chen, Chung-Len Lee 0001, Wen-Zen Shen, Beyin Chen Fanout fault analysis for digital logic circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fanout fault analysis, digital logic circuits, combinational benchmark circuits, sequential benchmark circuits, target faults, fault diagnosis, logic testing, test generation, sequential circuits, combinational circuits, fault simulation, fault collapsing
21Xiaoqing Wen, Hideo Tamamoto, Kozo Kinoshita Transistor leakage fault location with ZDDQ measurement. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF field effect transistor circuits, transistor leakage fault location, I/sub DDQ/ measurement, equivalence fault collapsing, diagnosed faults, gate-array circuit, fault diagnosis, logic testing, random tests, fault location, CMOS logic circuits, leakage currents, logic arrays, CMOS circuit, deterministic tests, electric current measurement, diagnostic resolution
21Alessandro Bogliolo, Maurizio Damiani, Piero Olivo, Bruno Riccò Reliability evaluation of combinational logic circuits by symbolic simulation. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF mcnc benchmark circuits, fault-tolerant combinational logic circuits, circuit functionality, fault indicators, control variables, BDD-based symbolic simulation, undetectable multiple faults, VLSI, VLSI, combinational circuits, logic CAD, digital simulation, circuit analysis computing, reliability evaluation, integrated circuit reliability
21Noé Lopez-Benitez Dependability Modeling and Analysis of Distributed Programs. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF program reliability, program availability, distributed computing system environment, repair actions, global repair mode, centralized repair team, system status restoration, failure state, local repair model, program interruption, Petri nets, distributed algorithms, software reliability, distributed programs, stochastic processes, programming theory, dependability analysis, system recovery, stochastic Petri nets, program diagnostics, hardware support, multiprocessing programs, program execution, file distribution, dependability modeling, hardware faults
21Rajendra V. Boppana, Suresh Chalasani Fault-tolerant routing with non-adaptive wormhole algorithms in mesh networks. Search on Bibsonomy SC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF block faults, nonadaptive routing, deadlocks, wormhole routing, mesh networks, fault-tolerant routing, multicomputer networks
21Michael Nicolaidis Shorts in self-checking circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF strongly fault-secure circuits, transistor faults, error detecting codes, self-checking circuits, totally self-checking circuits
21Michael H. Woodbury, Kang G. Shin Measurement and Analysis of Workload Effects on Fault Latency in Real-Time Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF workload effects, fault latency, control computer systems, multiple latent faults, coverage failure, synthetic work generator, hardware fault injector, NASA Airlab, software engineering, real-time systems, real-time systems, fault tolerant computing, multiprocessing systems, program testing, system recovery, control systems, recovery mechanisms, fault-tolerant multiprocessor
21Chris Lewis 0002, Jim Whitehead Runtime repair of software faults using event-driven monitoring. Search on Bibsonomy ICSE (2) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF message broker, runtime software-fault monitoring, temporal invariants, specifications, video games, rule engine, event-driven systems
21Bernadette Charron-Bost, André Schiper The Heard-Of model: computing in distributed systems with benign faults. Search on Bibsonomy Distributed Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Benign fault, HO (Heard-Of) model, Transmission fault, Consensus, Computational model
21Qiang Xu 0001, Yubin Zhang, Krishnendu Chakrabarty SOC test-architecture optimization for the testing of embedded cores and signal-integrity faults on core-external interconnects. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Core-based system-on-chip, test scheduling, test-access mechanism (TAM), interconnect testing
21Ian J. Hayes Dynamically Detecting Faults via Integrity Constraints. Search on Bibsonomy Methods, Models and Tools for Fault Tolerance The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault detection, Integrity constraint, action system, real-time programming
21Masahiro Tsunoyama, Hirokazu Jinno, Masayuki Ogawa, Tatsuo Sato An Application of Fuzzy Measure and Integral for Diagnosing Faults in Rotating Machines. Search on Bibsonomy Tools and Applications with Artificial Intelligence The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Peter Tummeltshammer, Andreas Steininger On the role of the power supply as an entry for common cause faults - An experimental analysis. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Jiadong Ren, Changzhen Hu, Kunsheng Wang, Di Wu 0033 A Method for Analyzing Software Faults Based on Mining Outliers' Feature Attribute Sets. Search on Bibsonomy AMT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Ahmed E. Hassan Predicting faults using the complexity of code changes. Search on Bibsonomy ICSE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Safeeullah Soomro, Franz Wotawa Detect and Localize Faults in Alias-Free Programs Using Specification Knowledge. Search on Bibsonomy IEA/AIE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Irith Pomeranz, Sudhakar M. Reddy Transition Path Delay Faults: A New Path Delay Fault Model for Small and Large Delay Defects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21M. Ümit Uyar, Samrat S. Batth, Yu Wang 0012, Mariusz A. Fecko Algorithms for Modeling a Class of Single Timing Faults in Communication Protocols. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Finite State Machine (FSM), Extended Finite State Machine (EFSM), Timed EFSM, Fault Modeling, Conformance Testing, Timers
21Hangkyu Lee, Irith Pomeranz, Sudhakar M. Reddy On Complete Functional Broadside Tests for Transition Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Piotr Jantos, Damian Grzechca, Tomasz Golonek, Jerzy Rutkowski The Influence of Global Parametric Faults on Analogue Electronic Circuits Time Domain Response Features. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Lingfu Xie, Du Xu, Qing Yao, Lei Song A New Fault-Tolerant Wormhole Routing Scheme in Tori with Convex Faults. Search on Bibsonomy HASE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Miao Jiang 0002, Mohammad Ahmad Munawar, Thomas Reidemeister, Paul A. S. Ward Detection and Diagnosis of Recurrent Faults in Software Systems by Invariant Analysis. Search on Bibsonomy HASE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Jaymie Strecker, Atif M. Memon Relationships between Test Suites, Faults, and Fault Detection in GUI Testing. Search on Bibsonomy ICST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Ing-Xiang Chen, Cheng-Zen Yang, Ting-Kun Lu, Hojun Jaygarl Implicit Social Network Model for Predicting and Tracking the Location of Faults. Search on Bibsonomy COMPSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bug report managing system (BRMS), implicit social network analysis, PageRank, bug prediction
21Jon Stearley, Adam J. Oliner Bad Words: Finding Faults in Spirit's Syslogs. Search on Bibsonomy CCGRID The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Claudomir Cardoso, Yomara Pires, Jefferson Morais, Aldebaro Klautau Hierarchical Agglomerative Clustering of Short-Circuit Faults in Transmission Lines. Search on Bibsonomy SBRN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Rajamani Sethuram, Michael L. Bushnell, Vishwani D. Agrawal Fault Nodes in Implication Graph for Equivalence/Dominance Collapsing, and Identifying Untestable and Independent Faults. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Diagnosis, ATPG, Fault Model, Fault Collapsing, Implication Graph
21Xiangyu Zhang 0001, Neelam Gupta, Rajiv Gupta 0001 A study of effectiveness of dynamic slicing in locating real faults. Search on Bibsonomy Empir. Softw. Eng. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Full slicing, Exploring slices, Fault location, Dynamic program slicing, Data slicing
21Crineu Tres, Leandro Buss Becker, Edgar Nett Real-Time Tasks Scheduling with Value Control to Predict Timing Faults During Overload. Search on Bibsonomy ISORC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Marta Capiluppi, Manfred Morari Networks of Hybrid Systems: Connections Faults Modelling and Detection. Search on Bibsonomy HSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Shuhua Xu, Benxiong Huang, Yuchun Huang Classification and Diagnosis of Mechanical Faults Using the RBF Network Based on the Local Bispectra. Search on Bibsonomy ISNN (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Ching-Wen Chen Design schemes of dynamic rerouting networks with destination tag routing for tolerating faults and preventing collisions. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Destination tag routing, Fault tolerance, Performance, Parallel computing, Multistage interconnection network (MIN), Collision, Dynamic rerouting
21Katherine Shu-Min Li, Chauchin Su, Yao-Wen Chang, Chung-Len Lee 0001, Jwu E. Chen IEEE Standard 1500 Compatible Interconnect Diagnosis for Delay and Crosstalk Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Kalpesh Kapoor Formal Analysis of Coupling Hypothesis for Logical Faults. Search on Bibsonomy Innov. Syst. Softw. Eng. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Logical fault, Software testing, Mutation testing, Fault-based testing, Boolean specification
21Nektarios Kranitis, Andreas Merentitis, Nikolaos Laoutaris, George Theodorou, Antonis M. Paschalis, Dimitris Gizopoulos, Constantin Halatsis Optimal periodic testing of intermittent faults in embedded pipelined processor applications. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Yoshihiro Nakaminami, Hirotsugu Kakugawa, Toshimitsu Masuzawa An advanced performance analysis of self-stabilizing protocols: stabilization time with transient faults during convergence. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Hiroshi Takahashi, Shuhei Kadoyama, Yoshinobu Higami, Yuzo Takamatsu, Koji Yamazaki, Takashi Aikyo, Yasuo Sato Effective Post-BIST Fault Diagnosis for Multiple Faults. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Gurgen Harutunyan, Valery A. Vardanian, Yervant Zorian Minimal March Test Algorithm for Detection of Linked Static Faults in Random Access Memories. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Jais Abraham, Uday Goel, Arun Kumar Multi-Cycle Sensitizable Transition Delay Faults. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Stefan Dobrev, Rastislav Kralovic, Richard Královic, Nicola Santoro On Fractional Dynamic Faults with Threshold. Search on Bibsonomy SIROCCO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Mikhail Nesterenko, Sébastien Tixeuil Discovering Network Topology in the Presence of Byzantine Faults. Search on Bibsonomy SIROCCO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Philipp Peti, Roman Obermaisser, Harald Paulitsch Investigating Connector Faults in the Time-Triggered Architecture. Search on Bibsonomy ETFA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Bartosz Sokol, S. V. Yarmolik Address Sequences for March Tests to Detect Pattern Sensitive Faults. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Bogdan Tomoyuki Nassu, Takashi Nanya A Scenario of Tolerating Interaction Faults Between Otherwise Correct Systems. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Huawei Li 0001, Xiaowei Li 0001 Selection of Crosstalk-Induced Faults in Enhanced Delay Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF automatic test pattern generation (ATPG), crosstalk, delay test, critical paths
21Viraj Kumar, Mahesh Viswanathan 0001 Conformance testing in the presence of multiple faults. Search on Bibsonomy SODA The full citation details ... 2005 DBLP  BibTeX  RDF
21Assaf Harel, Eliezer Kantorowitz Estimating the Number of Faults Remaining in Software Code Documents Inspected with Iterative Code Reviews. Search on Bibsonomy SwSTE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Gabriela Jacques-Silva, Roberto Jung Drebes, Taisy Silva Weber, Eliane Martins Injecting Communication Faults to Experimentally Validate Java Distributed Applications. Search on Bibsonomy ISSADS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Gerald Steinbauer, Martin Mörth, Franz Wotawa Real-Time Diagnosis and Repair of Faults of Robot Control Software. Search on Bibsonomy RoboCup The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #501 - #600 of 11893 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license