The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for BIST with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1988 (24) 1989-1990 (20) 1991 (15) 1992 (24) 1993 (25) 1994 (35) 1995 (56) 1996 (51) 1997 (71) 1998 (81) 1999 (84) 2000 (122) 2001 (115) 2002 (121) 2003 (126) 2004 (134) 2005 (114) 2006 (89) 2007 (69) 2008 (72) 2009 (47) 2010 (43) 2011 (34) 2012 (27) 2013 (50) 2014 (34) 2015 (33) 2016-2017 (34) 2018 (22) 2019-2020 (27) 2021-2022 (25) 2023 (17) 2024 (2)
Publication types (Num. hits)
article(532) incollection(2) inproceedings(1304) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1729 occurrences of 545 keywords

Results
Found 1860 publication records. Showing 1843 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch A Test Vector Inhibiting Technique for Low Energy BIST Design. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Sassan Tabatabaei, André Ivanov A Current Integrator for BIST of Mixed-Signal ICs. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Piero Olivo, Marcello Dalpasso A Bist Scheme for Non-Volatile Memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF off-line testing, built-in self-test, signature analysis, non-volatile memories
22Vyacheslav N. Yarmolik, Sybille Hellebrand, Hans-Joachim Wunderlich Self-Adjusting Output Data Compression: An Efficient BIST Technique for RAMs. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Cheng-Wen Wu Testing Embedded Memories: Is BIST the Ultimate Solution? Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22C. P. Ravikumar, N. Satya Prasad Evaluating BIST Architectures for Low Power. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Christophe Fagot, Olivier Gascuel, Patrick Girard 0001, Christian Landrault A Ring Architecture Strategy for BIST Test Pattern Generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Vladimir Castro Alves, Felipe M. G. França, Edson do Prado Granja A BIST Scheme for Asynchronous Logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Patrick Girard 0001, Christian Landrault, V. Moreda, Serge Pravossoudovitch, Arnaud Virazel A BIST Structure to Test Delay Faults in a Scan Environment. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Rajesh Pendurkar, Abhijit Chatterjee, Yervant Zorian A distributed BIST technique for diagnosis of MCM interconnections. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Chauchin Su, Shung-Won Jeng, Yue-Tsang Chen Boundary scan BIST methodology for reconfigurable systems. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Mehrdad Nourani, Christos A. Papachristou Structural BIST insertion using behavioral test analysis. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Huan-Chih Tsai, Kwang-Ting Cheng, Chih-Jen Lin, Sudipta Bhawmik A Hybrid Algorithm for Test Point Selection for Scan-Based BIST. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Chih-Ang Chen, Sandeep K. Gupta Design of efficient BIST test pattern generators for delay testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
22Kowen Lai, Christos A. Papachristou BIST Testability Enhancement of System Level Circuits : Experience with An Industrial Design. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
22D. Lambidonis, André Ivanov, Vinod K. Agarwal Fast signature computation for BIST linear compactors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Jacob Savir Shrinking wide compressors [BIST]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Benoit Nadeau-Dostie, Dwayne Burek, Abu S. M. Hassan ScanBist: A Multifrequency Scan-Based BIST Method. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Dimitrios Kagaris, Spyros Tragoudas Cost-effective LFSR synthesis for optimal pseudoexhaustive BIST test sets. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
22Sungju Park, Sheldon B. Akers Parity bit calculation and test signal compaction for BIST applications. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF parity bit, test response compression, Built-in self-test, pseudo-exhaustive tests
22Andrzej Krasniewski, Slawomir Pilarski Circular self-test path: a low-cost BIST technique for VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
20Brendan Mullane, Ciaran MacNamee, Vincent O'Brien, Thomas Fleischmann An on-chip solution for static ADC test and measurement. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ADC-BiST, code histogram, linearity measurements, test, system-on-chip, analog to digital converter
20Scott Davidson 0001 The commonality of vector generation techniques. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF output compression, non-fault-directed test, semi-fault-directed test, ATPG, test compression, full scan, vector generation, logic BIST
20Apurva Mishra, Mani Soma A Time-Domain Method for Pseudo-Spectral Characterization. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF bist, analog test, mixed-signal test, fft
20Rei-Fu Huang, Chao-Hsun Chen, Cheng-Wen Wu Economic Aspects of Memory Built-in Self-Repair. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF BIRA, BIST, yield, overhead, economic models, BISR, redundancy analysis, built-in self-repair
20Said Hamdioui, Zaid Al-Ars, Ad J. van de Goor Opens and Delay Faults in CMOS RAM Address Decoders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF address decoder delay faults, addressing methods, BIST, DFT, Memory testing, open defects
20Hani Rizk, Christos A. Papachristou, Francis G. Wolff A Self Test Program Design Technique for Embedded DSP Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF self test programs, pseudorandom BIST, LSFR, DSP, ATPG
20Markus Seuring Combining Scan Test and Built-in Self Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MBIST, BIST, scan test, production test, stress test
20Kentaroh Katoh, Hideo Ito Built-In Self-Test for PEs of Coarse Grained Dynamically Reconfigurable Devices. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Coarse Grained Dynamically Reconfigurable Devices, DRP, BIST(Built-In Self Test), PE, DFT
20Kang Yi, Kyeong-Hoon Jung, Shih-Yang Cheng, Young-Hwan Park, Fadi J. Kurdahi, Ahmed M. Eltawil Design and Analysis of Low Power Image Filters Toward Defect-Resilient Embedded Memories for Multimedia SoCs. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Low power image filter design, Memory yield enhancement, Memory-error resilient design, H.264 codec, BIST, Embedded memory, BISR
20R. Sanahuja, Victor Barcons, Luz Balado, Joan Figueras Testing Biquad Filters under Parametric Shifts Using X-Y Zoning. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF X-Y zoning, Lissajous curves, BIST, parametric testing
20Libor Rufer, Salvador Mir, Emmanuel Simeu, C. Domingues On-Chip Pseudorandom MEMS Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF BIST, pseudorandom sequences, impulse response, MEMS testing
20Abdul Wahid Hakmi, Hans-Joachim Wunderlich, Valentin Gherman, Michael Garbers, Jürgen Schlöffel Implementing a Scheme for External Deterministic Self-Test. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Deterministic self-test, external BIST, test data compression, test resource partitioning
20Kanad Chakraborty Testing and Reliability Techniques for High-Bandwidth Embedded RAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multiport RAM, BIST (built-in self-test), BISR (built-in self-repair), column-multiplexed addressing, fault tolerance, reliability, bandwidth
20Hao-Yung Lo, Hsiu-Feng Lin, Chichyang Chen, Jenshiuh Liu, Chia-Cheng Liu Built-in Test with Modified-Booth High-Speed Pipelined Multipliers and Dividers. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF generator, BIST, computer arithmetic, polynomials, VLSI design, multiplication, division
20Jin-Fu Li 0001, Ruey-Shing Tzeng, Cheng-Wen Wu Testing and Diagnosis Methodologies for Embedded Content Addressable Memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF march test algorithm, memory diagnostics, BIST, memory testing, CAM
20Martin John Burbidge, Jim Tijou, Andrew Richardson 0001 Techniques for Automatic On Chip Closed Loop Transfer Function Monitoring For Embedded Charge Pump Phase Locked Loops. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF CP-PLL, TEST, DfT, BIST, PLL
20Shiyi Xu Build-In-Self-Test for Software. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Software Testing, Design for Testability, Build-In-Self-Test (BIST)
20Mohammad A. Naal, Emmanuel Simeu, Salvador Mir On-Line Testable Decimation Filter Design for AMS Systems. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF non-concurrent, semi-concurrent, SigmaDelta, decimation filters, analogue BIST, on-line testing
20Jin-Fu Li 0001, Ruey-Shing Tzeng, Cheng-Wen Wu Diagnostic Data Compression Techniques for Embedded Memories with Built-In Self-Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Hamming syndrome, memory diagnostics, data compression, built-in self-test (BIST), system-on-chip, memory testing, Huffman code, March test
20Douglas Kay, Sung Chung, Samiha Mourad Embedded test control schemes for compression in SOCs. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF test resource allocation, data compression, BIST
20Arnaud Virazel, René David, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch Delay Fault Testing: Choosing Between Random SIC and Random MIC Test Sequences. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF non-robust test, BIST, random testing, delay testing, robust test
20Anna Maria Brosa, Joan Figueras Digital Signature Proposal for Mixed-Signal Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF BIST, analog test, mixed-signal test
20Hans G. Kerkhoff, Han Speek, M. Shashani, Manoj Sachdev Design for Delay Testability in High-Speed Digital ICs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF BIST, delay-fault testing, design for delay testability, high-speed testing
20Paulo F. Flores, Horácio C. Neto, João P. Marques Silva An exact solution to the minimum size test pattern problem. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF propositional satisfiability (SAT), verification and test, built-in self-test (BIST), Automatic test pattern generation (ATPG), integer linear programming (ILP)
20Fabian Vargas 0001, Rubem Dutra Ribeiro Fagundes, Daniel Barros Jr. A New Approach to Design Reliable Real-Time Speech Recognition Systems. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF HW-SW Codesign, Digital Signal Processing - DSP, Speech-Recognition Systems, Fault-Tolerance Techniques, Transparent BIST, Performance Degradation, Area overhead
20Kelly A. Ockunzzi, Christos A. Papachristou Breaking Correlation to Improve Testability. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF BIST, DFT, Test Synthesis
20Ugur Kalay, Douglas V. Hall, Marek A. Perkowski A Minimal Universal Test Set for Self-Test of EXOR-Sum-of-Products Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF AND-EXOR realizations, Reed-Muller expressions, single stuck-at fault model, easily testable combinational networks, self-testable circuits, Built-in Self-Test (BIST), test pattern generation, Design for Testing (DFT), Universal test set
20Sying-Jyan Wang, Chen-Jung Wei Efficient built-in self-test algorithm for memory. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF built-in self-test algorithm, built-in self test, BIST, DRAM, test patterns, pseudorandom testing, coupling faults, DRAM chips
20Susmita Sur-Kolay, Marly Roncken, Ken S. Stevens, Parimal Pal Chaudhuri, Rob Roy Fsimac: a fault simulator for asynchronous sequential circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Fsimac, gate-level fault simulator, Muller C-elements, complex domino gates, high-speed design, min-max timing analysis, min-max rime stamps, CA-BIST, waveform model, logic testing, built-in self test, timing, cellular automata, Cellular Automata, sequential circuits, iterative methods, fault simulation, fault simulator, asynchronous circuits, stuck-at faults, iterations, delay faults, combinational logic, feedback loops, pseudo-random tests, gate-delay faults, asynchronous sequential circuits
20Alfredo Benso, Silvia Chiusano, Giorgio Di Natale, Paolo Prinetto, Monica Lobetti Bodoni A Family of Self-Repair SRAM Cores. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Memory Self-Repair, Memory BIST, BISR
20Patrick Girard 0001 Low Power Testing of VLSI Circuits: Problems and Solutions. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Test, Low Power, ATPG, BIST, Low Energy
20Jeongjin Roh, Jacob A. Abraham A Comprehensive TDM Comparator Scheme for Effective Analysis of Oscillation-Based Test. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF oscillation test, BIST, signature, analog, time-division multiplexing, comparator, mixed-signal
20Mohammed Fadle Abdulla, C. P. Ravikumar, Anshul Kumar Built-in Self Test Based on Multiple On-Chip Signature Checking. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF multiple signature comparison testing, BIST, aliasing probability
20Marco Brazzarola, Franco Fummi Power Characterization of LFSRs. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST, Power consumption, LFSR
20Sujit Dey, Anand Raghunathan, Kenneth D. Wagner Design for Testability Techniques at the Behavioral and Register-Transfer Levels. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF behavioral synthesis for testability, behavioral synthesis for BIST, high-level test generation, RTL synthesis for testability, design for testability
20Kelly A. Ockunzzi, Christos A. Papachristou Testability Enhancement for Control-Flow Intensive Behaviors. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF behavioral testability analysis and insertion, BIST, test synthesis
20Joan Carletta, Christos A. Papachristou Behavioral Testability Insertion for Datapath/Controller Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF behavioral testability analysis, BIST, test synthesis
20Gang-Min Park, Hoon Chang An extended march test algorithm for embedded memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF efficient test algorithm, BIST architecture, neighborhood pattern sensitive fault, background data, word-oriented memory testing, extended march test algorithm, stuck-at fault, transition fault, embedded memories, integrated memory circuits, coupling fault
20Toshinobu Ono, Kazuo Wakui, Hitoshi Hikima, Yoshiyuki Nakamura, Masaaki Yoshida Integrated and Automated Design-for-Testability Implementation for Cell-Based ICs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF core test, design-for-testability, BIST, scan, boundary scan, test bus
20Andrzej Hlawiczka, Michael Gössel, Egor S. Sogomonyan A linear code-preserving signature analyzer COPMISR. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF linear separable code, code preserving multi-input signature register, COPMISR, concurrent checking, parity code, group -parity code, BIST, linear codes, Hamming code, duplication code, XOR-gate, signature analyzer, on-line error detection
20Franco Fummi, Donatella Sciuto Implicit test pattern generation constrained to cellular automata embedding. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF implicit test pattern generation, cellular automata embedding, test sequence identification, autonomous finite state machine, off-line self-testable circuit, BIST strategy, deterministic test sequences, MCNC benchmarks, controller, built-in self test, stuck-at faults, ASIC design, circuit under test
20Vladimir Castro Alves, A. Ribeiro Antunes, Meryem Marzouki A Pragmatic, Systematic And Flexible Synthesis For Testability Methodology. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF high-level synthesis for testability, systematic flexible synthesis, testability methodology, industrial tools, pragmatic synthesis, AMICAL synthesis, programmable test pattern generation, BUS-based circuit, embedded test paths, high level synthesis, design for testability, BIST, automatic generation, data path, scan path
20Li-Ren Huang, Jing-Yang Jou, Sy-Yen Kuo An Efficient PRPG Strategy By Utilizing Essential Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF PRPG, essential fault, multiple polynomial, Gauss elimination, pseudorandom test pattern, multivariable linear equation, deterministic test set, random pattern resistant circuit, don't care value, intelligent heuristic, ISCAS-85 benchmark, ISCAS-89 benchmark, built-in self test, BIST, fault coverage, LFSR, test length, hardware overhead, multiple seed
20Jacob Savir Generator choices for delay test. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF BIST based delay test, generator choices, delay test vector generator, nonscan designs, transition test, skewed-load delay test, shift dependency, digital logic circuits, performance, VLSI, fault diagnosis, logic testing, delays, built-in self test, integrated circuit testing, ATPG, automatic testing, flexibility, linear feedback shift register, cost, shift registers, scan designs, boundary scan testing, test vectors, timing requirement, pseudo-random test
20Mitrajit Chatterjee, Dhiraj K. Pradhan A novel pattern generator for near-perfect fault-coverage. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF near-perfect fault-coverage, onchip BIST, GLFSR, logic mapping technique, weighted pattern technique, logic testing, built-in self test, integrated circuit testing, design methodology, combinational circuits, automatic testing, integrated logic circuits, shift registers, combinational logic, digital integrated circuits, pattern generator, single stuck-at fault
20Xinli Gu RT level testability-driven partitioning. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testability-driven partitioning, RT level designs, hard-to-test points, testability analysis algorithm, normal mode, design function, test mode, acyclic partition, BIST technique, fault diagnosis, logic testing, built-in self test, integrated circuit testing, design for testability, ATPG, automatic testing, logic CAD, fault coverage, logic partitioning, test application time, data path, testability measurements, DFT techniques
20Shridhar K. Mukund, Edward J. McCluskey, T. R. N. Rao An apparatus for pseudo-deterministic testing. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pseudo-deterministic testing, deterministic patterns, at-speed BIST, arbitrary length shift register, care bits, tap configurations, test segments, don't care bits, random pattern resistant faults, interconnected logic blocks, logic testing, built-in self test, integrated circuit testing, LFSR, shift registers, computational efficiency, test vector, pseudo-random sequences
20Nazar S. Haider, Nick Kanopoulos Efficient board interconnect testing using the split boundary scan register. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF IEEE std. 1149.1-1990, split boundary scan register, BIST, boundary scan
16G. Seetharaman, B. Venkataramani Automation Schemes for FPGA Implementation of Wave-Pipelined Circuits. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, pipelining, SOC, CORDIC, wave-pipelining, DAA
16Wimol San-Um, Masayoshi Tachibana Simultaneous impulse stimulation and response sampling technique for built-in self test of linear analog integrated circuits. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF impulse stimulation, linear analog integrated circuits, response sampling technique, built-in self test
16Shantanu Dutt, Vinay Verma, Vishal Suthar Built-in-Self-Test of FPGAs With Provable Diagnosabilities and High Diagnostic Coverage With Application to Online Testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Hafizur Rahaman 0001, Jimson Mathew, Dhiraj K. Pradhan, Abusaleh M. Jabir C-testable bit parallel multipliers over GF(2m). Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF testing, cryptography, built-in self-test, digital signal processing, polynomials, VLSI design, stuck-at fault, TPG, fault, multiplier, Galois field, error control code, C-testable
16Tian Chen, Huaguo Liang, Minsheng Zhang, Wei Wang 0310 A Scheme of Test Pattern Generation Based on Reseeding of Segment-Fixing Counter. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Aman Kokrady, C. P. Ravikumar, Nitin Chandrachoodan Memory Yield Improvement through Multiple Test Sequences and Application-Aware Fault Models. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Rupsa Chakraborty, Dipanwita Roy Chowdhury coreBIST: A Cellular Automata Based Core for Self Testing System-on-Chips. Search on Bibsonomy ACRI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Response-Analyzer, Built-in self-test, System-on-Chip, Cellular Automata, Test-Pattern-Generator
16Jen-Chieh Yeh, Kuo-Liang Cheng, Yung-Fa Chou, Cheng-Wen Wu Flash Memory Testing and Built-In Self-Diagnosis With March-Like Test Algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Marcelo Negreiros, Adão Antônio de Souza Jr., Luigi Carro, Altamiro Amadeu Susin RF Digital Signal Generation Beyond Nyquist. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Foster F. Dai, Charles E. Stroud, Dayu Yang Automatic linearity and frequency response tests with built-in pattern generator and analyzer. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Swaroop Ghosh, Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy 0001 A Novel Delay Fault Testing Methodology Using Low-Overhead Built-In Delay Sensor. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Gildas Léger, Adoración Rueda Experimental Validation of a Fully Digital BISTfor Cascaded Sigma Delta Modulators. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Marcial Jesús Rodríguez-Irago, Juan J. Rodríguez-Andina, Fabian Vargas 0001, Jorge Semião, Isabel C. Teixeira, João Paulo Teixeira 0001 Dynamic Fault Detection in Digital Systems Using Dynamic Voltage Scaling and Multi-Temperature Schemes. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Shyue-Kung Lu, Ting-Yu Chen, Wei-Yuan Liu Efficient Built-In Self-Test Schemes for Video Coding Cores: a Case Study on DCT/IDCT Circuits. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Ahmad A. Al-Yamani, Subhasish Mitra, Edward J. McCluskey Optimized reseeding by seed ordering and encoding. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Cheng-Wen Wu SOC Testing Methodology and Practice. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Janusz Rajski Embedded Test Technology - Brief History, Current Status, and Future Directions. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Biplab K. Sikdar, Arijit Sarkar, Samir Roy, Debesh K. Das Synthesis of Testable Finite State Machine Through Decomposition. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF emitability, FSM state encoding, decomposition, reachability, degree-of-freedom
16Liyang Lai, Janak H. Patel, Thomas Rinderknecht, Wu-Tung Cheng Hardware Ef.cient LBISTWith Complementary Weights. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16João Goes, Nuno Paulino 0002, Guiomar Evans On-chip built-in self-test of video-rate ADCs using Gaussian noise. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Kuen-Jong Lee, Chia-Yi Chu, Yu-Ting Hong An embedded processor based SOC test platform. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Mohammad Tehranipoor Defect Tolerance for Molecular Electronics-Based NanoFabrics Using Built-In Self-Test Procedure. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Aditya Sankar Medury, Ingvar Carlson, Atila Alvandpour, John Stensby Structural Fault Diagnosis in Charge-Pump Based Phase-Locked Loops. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16B. K. S. V. L. Varaprasad, Lalit M. Patnaik, Hirisave S. Jamadagni, V. K. Agrawal A new ATPG technique (MultiDetect) for testing of analog macros in mixed-signal circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16M. Amir Abas, Gordon Russell 0002, D. J. Kinniment Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Hsin-Wen Ting, Bin-Da Liu, Soon-Jyh Chang A Time Domain Built-In Self-Test Methodology for SNDR and ENOB Tests of Analog-to-Digital Converters. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Li-Ming Denq, Rei-Fu Huang, Cheng-Wen Wu, Yeong-Jar Chang, Wen Ching Wu A Parallel Built-in Diagnostic Scheme for Multiple Embedded Memories. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Zhongjun Yu, Degang Chen 0001, Randall L. Geiger Accurate testing of ADC's spectral performance using imprecise sinusoidal excitations. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Thomas O'Shea, Ian Andrew Grout A Matlab Based On-Chip Signal Generation and Analysis Environment for Mixed Signal Circuits. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Charles E. Stroud, John Sunwoo, Srinivas M. Garimella, Jonathan Harris Built-In Self-Test for System-on-Chip: A Case Study. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Heinz Mattes, Claus Dworski, Sebastian Sattler Controlled Sine Wave Fitting for ADC Test. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1843 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license