The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Current with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1960 (21) 1961-1963 (15) 1964-1966 (17) 1967-1970 (15) 1971-1973 (23) 1974 (28) 1975 (26) 1976 (26) 1977 (20) 1978 (50) 1979 (27) 1980 (44) 1981 (30) 1982 (44) 1983 (25) 1984 (50) 1985 (78) 1986 (78) 1987 (94) 1988 (154) 1989 (181) 1990 (238) 1991 (142) 1992 (276) 1993 (279) 1994 (374) 1995 (446) 1996 (501) 1997 (598) 1998 (868) 1999 (1162) 2000 (1554) 2001 (1757) 2002 (2235) 2003 (2589) 2004 (3772) 2005 (4410) 2006 (5210) 2007 (5389) 2008 (5562) 2009 (3626) 2010 (1391) 2011 (841) 2012 (1029) 2013 (1086) 2014 (1197) 2015 (1353) 2016 (1299) 2017 (1307) 2018 (1398) 2019 (1661) 2020 (1610) 2021 (1774) 2022 (1608) 2023 (1711) 2024 (473)
Publication types (Num. hits)
article(16420) book(33) data(20) incollection(695) inproceedings(44396) phdthesis(89) proceedings(119)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 41568 occurrences of 12452 keywords

Results
Found 61775 publication records. Showing 61772 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Hartmut Ehrig Concepts and Compatibility Requirements for Implementations and Transformations of Specifications. Search on Bibsonomy Current Trends in Theoretical Computer Science The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Lane A. Hemachandra, Mitsunori Ogiwara Is #P Closed Under Subtraction? Search on Bibsonomy Current Trends in Theoretical Computer Science The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Hartmut Ehrig, Michael A. Arbib Linking Schemas and Module Specifications: a Proposal. Search on Bibsonomy Current Trends in Theoretical Computer Science The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Jacques Loeckx The Specification System Obscure: a Short Survey. Search on Bibsonomy Current Trends in Theoretical Computer Science The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Yuri Gurevich Evolving Algebras: an Attempt to Discover Semantics. Search on Bibsonomy Current Trends in Theoretical Computer Science The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Juris Hartmanis, Richard Chang 0001, Jim Kadin, Stephen G. Mitchell Some Observations about Relativization of Space Bounded Computations. Search on Bibsonomy Current Trends in Theoretical Computer Science The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Christoph Meinel, Stephan Waack Upper and Lower Bounds for Certain Graph Accessibility Problems on Bounded Alternating omega-Branching Programs. Search on Bibsonomy Complexity Theory: Current Research The full citation details ... 1992 DBLP  BibTeX  RDF
23Harry Buhrman, Edith Spaan, Leen Torenvliet Bounded Reductions. Search on Bibsonomy Complexity Theory: Current Research The full citation details ... 1992 DBLP  BibTeX  RDF
23Jay Belanger, Jie Wang 0002 On Average P vs. Average NP. Search on Bibsonomy Complexity Theory: Current Research The full citation details ... 1992 DBLP  BibTeX  RDF
23Jin-yi Cai, Lane A. Hemachandra, Jozef Vyskoc Promise Problems and Guarded Access to Unambiguous Computation. Search on Bibsonomy Complexity Theory: Current Research The full citation details ... 1992 DBLP  BibTeX  RDF
23Antoni Lozano, Jacobo Torán On the Non-Uniform Complexity of the Graph Isomorphism Problem. Search on Bibsonomy Complexity Theory: Current Research The full citation details ... 1992 DBLP  BibTeX  RDF
23Ronald V. Book Additional Queries and Algorithmically Random Languages. Search on Bibsonomy Complexity Theory: Current Research The full citation details ... 1992 DBLP  BibTeX  RDF
23Anne Condon The Complexity of Space Boundes Interactive Proof Systems. Search on Bibsonomy Complexity Theory: Current Research The full citation details ... 1992 DBLP  BibTeX  RDF
23John Tromp, Peter van Emde Boas Associative Storage Modification Machines. Search on Bibsonomy Complexity Theory: Current Research The full citation details ... 1992 DBLP  BibTeX  RDF
23Martin Kummer Degrees of Unsolvability in Abstract Complexity Theory. Search on Bibsonomy Complexity Theory: Current Research The full citation details ... 1992 DBLP  BibTeX  RDF
23Rodney G. Downey, Michael R. Fellows Fixed Parameter Tractability and Completeness. Search on Bibsonomy Complexity Theory: Current Research The full citation details ... 1992 DBLP  BibTeX  RDF
23Vikraman Arvind, Yenjo Han, Lane A. Hemachandra, Johannes Köbler, Antoni Lozano, Martin Mundhenk, Mitsunori Ogiwara, Uwe Schöning, Riccardo Silvestri, Thomas Thierauf Reductions to Sets of Low Information Content. Search on Bibsonomy Complexity Theory: Current Research The full citation details ... 1992 DBLP  BibTeX  RDF
23J. W. de Bakker, Joost N. Kok, John-Jules Ch. Meyer, Ernst-Rüdiger Olderog, Jeffery I. Zucker Contrasting Themes in the Semantics of Imperative Concurrency. Search on Bibsonomy Current Trends in Concurrency The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
23Ernst-Rüdiger Olderog Process Theory: Semantics, Specification and Verification. Search on Bibsonomy Current Trends in Concurrency The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
23Giorgio Levi Logic Programming: The Foundations, the Approach and the Role of Concurrency. Search on Bibsonomy Current Trends in Concurrency The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
23Grzegorz Rozenberg, P. S. Thiagarajan Petri Nets: Basic Notions, Structure, Behaviour. Search on Bibsonomy Current Trends in Concurrency The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
23Jozef Hooman, Willem P. de Roever The Quest Goes on: A Survey of Proofsystems for Partial Correctness of CSP. Search on Bibsonomy Current Trends in Concurrency The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
23Fred B. Schneider, Gregory R. Andrews Concepts for Concurrent Programming. Search on Bibsonomy Current Trends in Concurrency The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
23Edward A. Ashcroft Dataflow and Education: Data-driven and Demand-driven Distributed Computation. Search on Bibsonomy Current Trends in Concurrency The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
23Michel Diaz, Jean-Pierre Courtiat, Aloysio Pedroza Design, Specification and Validation of Hierarchies of Protocols in Distributed Systems. Search on Bibsonomy Current Trends in Concurrency The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
23Hendrik Jan Hoogeboom, Grzegorz Rozenberg Infinitary Languages: Basic Theory an Applications to Concurrent Systems. Search on Bibsonomy Current Trends in Concurrency The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
23Henk Barendregt, Marc van Leeuwen Functional Programming and the Language TALE. Search on Bibsonomy Current Trends in Concurrency The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
22Ali Chehab, Saurabh Patel, Rafic Z. Makki Scaling of iDDT Test Methods for Random Logic Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic power supply current, design for current testability, resistive opens, resistive bridges, very deep sub-micron technologies, VDSM, fault simulation
22Baozhen Yu, Michael L. Bushnell A novel dynamic power cutoff technique (DPCT) for active leakage reduction in deep submicron CMOS circuits. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF power cutoff, standby current, stacking, leakage current, dynamic power
22Bartomeu Alorda, Vicent Canals, Ivan de Paúl, Jaume Segura 0001 A BIST-based Charge Analysis for Embedded Memories. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Current based testing, charge based testing, Embedded memories test, built-in current monitors
22Yann Antonioli, Tsuneo Inufushi, Shigeki Nishikawa, Kozo Kinoshita A high-speed IDDQ sensor implementation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF circuit feedback, high-speed IDDQ sensor implementation, submicron CMOS process, feedback scheme, floppy-disk controller IDDQ test, current sensor, built-in sensor, 0.35 micron, 50 MHz, integrated circuit testing, CMOS digital integrated circuits, BICS, electric current measurement, electric sensing devices
22Ajay Taparia, Thayamkulangara R. Viswanathan Low-power short-channel single-ended current-steered CMOS logic-gate for mixed-signal systems. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Shih-Hsu Huang, Chia-Ming Chang 0002, Yow-Tyng Nieh Opposite-phase register switching for peak current minimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF peak current, sequential circuit synthesis, Logic synthesis, IC testing
22Xiujian Li, Xiaoguang Hou, Yongming Nie, Wenhua Hu, Jiankun Yang, Junbo Yang Analyze the Discrete Photo-Induced Current Pulses of the Photorefractive Spatial Light Modulator. Search on Bibsonomy OSC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optically addressed spatial light modulator, photorefractive, photo-induced current pulse, discrete, optical computing
22Mahta Haghi, Jeff Draper The effect of design parameters on single-event upset sensitivity of MOS current mode logic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF mos current mode logic (mcml), single event upset (seu), design parameters, radiation hardening
22Maged Marghany, Mazlan Hashim, Arthur P. Cracknell Hopfield Neural Network for Sea Surface Current Tracking from Tiungsat-1 Data. Search on Bibsonomy ICCSA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Eluer method, current movement, TiungSAT-1 data, Hopfield neural network
22Michal Pavlik, Michal Kuban, Radimir Vrba Switched Current Flash Analog to Digital Converter. Search on Bibsonomy ICONS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Switched current, AD Converter, flash converter, Sigma Delta modulator
22Mikaël Cimino, Hervé Lapuyade, M. De Matos, Thierry Taris, Yann Deval, Jean-Baptiste Bégueret A Robust 130nm-CMOS Built-In Current Sensor Dedicated to RF Applications. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Analog and mixed-signal integrated circuits, Robustness, Design for testability, CMOS technology, Built-In current sensor
22Shih-Hsu Huang, Chia-Ming Chang 0002, Yow-Tyng Nieh State re-encoding for peak current minimization. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF peak current, sequential circuit synthesis, finite state machine
22Xiaoyan Ma, Huaxiang Lu Application of ICA in On-Line Verification of the Phase Difference of the Current Sensor. Search on Bibsonomy ICONIP (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Independent Subspace Analysis, Relative Likelihood Index, Current Sensor, Independent Component Analysis (ICA)
22Edgar Mauricio Camacho-Galeano, Carlos Galup-Montoro, Márcio C. Schneider An ultra-low-power self-biased current reference. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF inversion level, self-cascode MOSFET, design methodology, low-voltage, ultra-low-power, current reference
22Yongjun Xu, Zuying Luo, Zhiguo Chen, Xiaowei Li 0001 Average Leakage Current Macromodeling for Dual-Threshold Voltage Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF stack effect, leakage current simulation, propagation of signal probability, macromodeling
22Hyunsik Im Physical insight into fractional power dependence of saturation current on gate voltage in advanced short channel MOSFETS (alpha-power law model). Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ?-power model, MOSFET modeling, Saturation current
22Jin-Kyu Park, Keun-Ho Lee, Chang-Sub Lee, Gi-Young Yang, Young-Kwan Park, Jeong-Taek Kong Characterizing the Current Degradation of Abnormally Structured MOS Transistors Using a 3D Poisson Solver. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF CURRENT DEGRADATION, ABNORMALLY STRUCTURED MOS, MODELING
22Yukiya Miura, Hiroshi Yamazaki A Low-Loss Built-In Current Sensor. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF low-voltage LSIs, multiple power supplies, IDDQ testing, Built-in current sensor
22Claude Thibeault, Luc Boisvert On the Current Behavior of Faulty and Fault-Free ICs and the Impact on Diagnosis. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF current signatures, diagnosis, Integrated circuits, bridging faults, Iddq testing
22Xunwei Wu, Massoud Pedram Design of Ternary CCD Circuits Referencing to Current-Mode CMOS Circuits. Search on Bibsonomy ISMVL The full citation details ... 1997 DBLP  DOI  BibTeX  RDF MVL, ternary circuit, current-mode circuit, CCD
22Joan Figueras, Michel Renovell Current testing in dynamic CMOS circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF dynamic CMOS, test technique, integrated circuit, Current testing
22Josep Rius 0001, Joan Figueras Proportional BIC sensor for current testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF Built-in integrated sensor, CMOS lateral BJT, gate controlled BJT, I DDQ measure, current test
21Saibal Mukhopadhyay, Arijit Raychowdhury, Kaushik Roy 0001 Accurate estimation of total leakage current in scaled CMOS logic circuits based on compact current modeling. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF doping profiles, leakage, tunneling, threshold voltage
20Yueping Peng, Zhong Jian, Jue Wang Study on Discharge Patterns of Hindmarsh-Rose Neurons Under Slow Wave Current Stimulation. Search on Bibsonomy ICNC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Ivo Lattenberg, Kamil Vrba Filters with Current Amplifiers for High-speed Communication. Search on Bibsonomy ICN/ICONS/MCL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Tobi Delbrück, Patrick Lichtsteiner Fully programmable bias current generator with 24 bit resolution per bias. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Laurent Leyssenne, Eric Kerherve, Yann Deval, Didier Belot A novel delta sigma built-in-current-sensor as a signal strength indicator for RF transceiver reconfiguration. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RF power amplifier, efficiency maximization, WLAN, built-in current sensor, delta-sigma modulation
20ShiYu Yan, ZengQi Sun Design and analysis of switching full-order current observer and separation principle for T-S fuzzy system. Search on Bibsonomy GEC Summit The full citation details ... 2009 DBLP  DOI  BibTeX  RDF separation principle, switching fuzzy controller, switching fuzzy full-order current observer
20Chih-Cheng Lu, Hsin Chen Minimising Contrastive Divergence with Dynamic Current Mirrors. Search on Bibsonomy ICANN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Minimising Contrastive Divergence, Dynamic Current Mirrors, On-chip training, Probabilistic Model, Boltzmann Machine
20Arief Hernadi, Taufik, Makbul Anwari Modeling and Simulation of 6-Pulse and 12-Pulse Rectifiers under Balanced and Unbalanced Conditions with Impacts to Input Current Harmonics. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 6-Pulse and 12-Pulse Rectifiers, Balanced and Unbalanced Conditions, Input Current Harmonics
20Feng Yu, Yong Yin Oil spill visualization based on the numeric simulation of tidal current. Search on Bibsonomy VRCAI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF oil spill visualization, texture blending, tidal current, numeric simulation
20Liansheng Wang, Pheng-Ann Heng, Tien-Tsin Wong Estimation of the Current Density in a Dynamic Heart Model and Visualization of Its Propagation. Search on Bibsonomy MIAR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF heart model, visualization, ECG, current density
20Filipe Costa Beber Vieira, César Augusto Prior, Cesar Ramos Rodrigues, Leonardo Perin, João Baptista dos Santos Martins Current mode instrumentation amplifier with rail-to-rail input and output. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF current mode instrumentation amplifier, rail-to-rail input and output, analog integrated circuits
20Egas Henes Neto, Fernanda Lima Kastensmidt, Gilson I. Wirth A built-in current sensor for high speed soft errors detection robust to process and temperature variations. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault-tolerance, process variations, built-in current sensor
20Jaroslav Koton, Kamil Vrba New Multifunctional Frequency Filter Working in Current-mode. (PDF / PS) Search on Bibsonomy PWC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF current conveyor, currentmode, autonomous circuit, signal processing, frequency filters
20Jiri Stehlik, Daniel Becvar Field Programmable Mixed-Signal Arrays (FPMA) Using Versatile Current/Voltage Conveyor Structures. (PDF / PS) Search on Bibsonomy PWC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Field Programmable Mixed-Signals Array, Programmable Universal Current Conveyor, Field Programmable Analog Array
20Paulo F. Butzen, André Inácio Reis, Chris H. Kim, Renato P. Ribas Modeling and estimating leakage current in series-parallel CMOS networks. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage current modeling, static power dissipation, CMOS gates
20Bela Stantic, Guido Governatori, Abdul Sattar 0001 Handling of current time in native XML databases. Search on Bibsonomy ADC The full citation details ... 2006 DBLP  BibTeX  RDF current time, temporal databases, native XML databases
20Hong He, Shi-jiu Jin, Dajian Zhang, Hui Meng, Xian-wei Zhu, Lu Tang Study of Suppression Techniques for Harmonic Current. Search on Bibsonomy ISDA (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF harmonic current, suppression techniques, insertion loss, effective magnetic permeability, inductance, inductor
20Javid Jaffari, Mohab Anis Variability-aware device optimization under ION and leakage current constraints. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF device design, optimization, performance, process variation, leakage current
20Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy 0001 Frequency Specification Testing of Analog Filters Using Wavelet Transform of Dynamic Supply Current. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF analog filter, trim bit, dynamic supply current (IDD), wavelet transform, frequency response
20Jens Lienig Interconnect and current density stress: an introduction to electromigration-aware design. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interconnect, layout, physical design, electromigration, current density, interconnect reliability
20Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy 0001 Trim Bit Setting of Analog Filters Using Wavelet-Based Supply Current Analysis. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Analog Filer, Trim Bit, Dynamic Supply Current (IDD), Wavelet Transform
20Edward K. S. Au, Wing-Hung Ki, Wai Ho Mow, Silas T. Hung, Catherine Y. Wong A binary--search switched--current sensing scheme for 4-state MRAM. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF magneto-resistive random access memory, switched-current
20Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy 0001 Frequency Specification Testing of Analog Filters Using Wavelet Transform of Dynamic Supply Current. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Analog Filer, Trim Bit, Dynamic Supply Current (IDD), Wavelet Transform
20Stephen Tang, Siva G. Narendra, Vivek De Temperature and process invariant MOS-based reference current generation circuits for sub-1V operation. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF bandgap reference, process and temperature compensation, reference current, CMOS
20Jiwei Chen, Bingxue Shi New approach to CMOS current reference with very low temperature coefficient. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF temperature coefficient, CMOS, current reference
20Swarup Bhunia, Kaushik Roy 0001, Jaume Segura 0001 A novel wavelet transform based transient current analysis for fault detection and localization. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF transient current (IDD), wavelet transform, fault localization
20Mustafa Badaroglu, Kris Tiri, Stéphane Donnay, Piet Wambacq, Hugo De Man, Ingrid Verbauwhede, Georges G. E. Gielen Clock tree optimization in synchronous CMOS digital circuits for substrate noise reduction using folding of supply current transients. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF di/dt noise, low-noise digital design, supply current shaping, optimization, substrate noise, clock distribution networks
20Hiroyuki Yotsuyanagi, Masaki Hashizume, Taisuke Iwakiri, Masahiro Ichimiya, Takeomi Tamesada Test Pattern for Supply Current Test of Open Defects by Applying Time-Variable Electric Field. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF supply current test, time-variable electric field, test pattern generation, CMOS IC, open defects
20Martin Margala, Srdjan Dragic, Ahmed El-Abasiry, Samuel Ekpe, Viera Stopjaková I-V Fast IDDQ Current Sensor for On-Line Mixed-Signal/Analog Test. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI, Testing, Sensors, Iddq, Ultra-Low-Voltage, Current
20Toshimasa Kuchii, Masaki Hashizume, Takeomi Tamesada Algorithmic Test Generation for Supply Current Testing of TTL Combinational Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF supply current testing, D-frontier, test generation, IDDQ testing, PODEM
20Wojciech Maly, Marek J. Patyra Design of ICs applying built-in current testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF BIC-testing, Built-in testing, current testing
19P. Tantaswadi, C. Tangtrongbenchasil Numerical analysis of current measurement error due to localized vibration effects on reciprocal fiber optic polarimetric current sensor. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Fei Li 0003, Lei He 0001 Maximum current estimation considering power gating. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF low-power design, ATPG, power estimation, power gating
19Sungjae Hwang, Kibeom Lee, Woonseung Yeo My Green Pet: a current-based interactive plant for children. Search on Bibsonomy IDC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF current-based, interaction, interface, children, plant
19Marshnil Vipin Dave, Rajkumar Satkuri, Mahavir Jain, Maryam Shojaei Baghini, Dinesh Kumar Sharma Low-power current-mode transceiver for on-chip bidirectional buses. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF current-mode signaling, driver pre-emphasis, interconnects
19Hussain A. Alzaher, Noman Tasadduq A CMOS low power current-mode polyphase filter. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS analog integrated circuits, low power current mode circuit, polyphase filter
19Marshnil Vipin Dave, Maryam Shojaei Baghini, Dinesh Kumar Sharma A process variation tolerant, high-speed and low-power current mode signaling scheme for on-chip interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF current mode singnaling, dynamic overdriving, process variation tolerant
19Klas H. Pettersen, Espen Hagen, Gaute T. Einevoll Estimation of population firing rates and current source densities from laminar electrode recordings. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Local field potential, LFP, Multi-unit activity, MUA, Current source density, Population firing rate
19Pietro Maris Ferreira, José Gabriel Rodríguez Carneiro Gomes, Antonio Petraglia Current mode read-out circuit for infrared photodiode applications in 0.35 mum cmos. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF infra-red, read-out, image sensor, current-mode
19Ivo Lattenberg, Kamil Vrba Filters Eliminating Input Impedance of Input Current Terminal for High-Speed Analog Signal Processing. Search on Bibsonomy ICN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Filters, Signal processing, Current mode
19Mu-Shun Matt Lee, Chin-Hsun Lin, Chien-Nan Jimmy Liu, Shih-Che Lin Quick supply current waveform estimation at gate level using existed cell library information. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF current waveform estimation, gate-level
19Lei Zhang 0033, Zhiping Yu, Xiangqing He A Statistical Characterization of CMOS Process Fluctuations in Subthreshold Current Mirrors. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMOS Process Fluctuations, Subthreshold Current Mirror, Discrete Martingale, Probability, Random Variable
19Vineeth Veetil, Dennis Sylvester, David T. Blaauw Fast and Accurate Waveform Analysis with Current Source Models. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF weibull, bicubic spline, current source model
19Dong-Suk Hong, Hong-Koo Kang, Dong-Oh Kim, Jae-Kwan Yun, Ki-Joon Han A grid-based node split algorithm for managing current location data of moving objects. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Node split algorithm, Current location data, GALIS, Cluster-based distributed computing architecture, Load balancing, LBS, Moving objects
19Mikaël Cimino, Hervé Lapuyade, M. De Matos, Thierry Taris, Yann Deval, Jean-Baptiste Bégueret A Robust 130 nm-CMOS Built-In Current Sensor Dedicated to RF Applications. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Analog and mixed-signal integrated circuits, Robustness, Design for testability, CMOS technology, Built-in current sensor
19Burcu Erkmen, Tülay Yildirim CSFNN Synapse and Neuron Design Using Current Mode Analog Circuitry. Search on Bibsonomy KES (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Conic Section Function Neural Networks, Current Mode Analog Design, Neuron and Synapse Circuitry
19Zhiyu Liu, Volkan Kursun Leakage current starved domino logic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate oxide tunneling, sleep mode, domino logic, subthreshold leakage current, dual threshold voltage
19Chuen-Song Chen, Jien-Chung Lo, Tian Xia An indirect current sensing technique for IDDQ and IDDT tests. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IDDT, IDDQ, current testing, BICS
19Chirayu S. Amin, Chandramouli V. Kashyap, Noel Menezes, Kip Killpack, Eli Chiprout A multi-port current source model for multiple-input switching effects in CMOS library cells. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MCSM, cell library characterization, multiple input switching, timing analysis, current source model, cell model
19Swarup Bhunia, Arijit Raychowdhury, Kaushik Roy 0001 Defect Oriented Testing of Analog Circuits Using Wavelet Analysis of Dynamic Supply Current. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Defect Oriented Testing (DOT), dynamic supply current (IDD), wavelet transform, Fourier transform
19Eleonora Ferraris, Irene Fassi, Biagio De Masi, Marco Del Sarto Polysilicon Fatigue Test-Bed Monitoring Based on the 2nd Harmonic of the Device Current Measurement. Search on Bibsonomy ICMENS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Fatigue testing, 2nd harmonic of the device current, on-chip test design
Displaying result #701 - #800 of 61772 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license