The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "FPGA"( http://dblp.L3S.de/Venues/FPGA )

URL (DBLP): http://dblp.uni-trier.de/db/conf/fpga

Publication years (Num. hits)
1995 (25) 1996 (23) 1997 (24) 1998 (49) 1999 (57) 2000 (41) 2001 (25) 2002 (27) 2003 (53) 2004 (68) 2005 (65) 2006 (53) 2007 (27) 2008 (47) 2009 (65) 2010 (67) 2011 (62) 2012 (57) 2013 (71) 2014 (70) 2015 (84) 2016 (68) 2017 (63) 2018 (62) 2019 (95) 2020 (85) 2021 (51) 2022 (39) 2023 (51) 2024 (44)
Publication types (Num. hits)
inproceedings(1588) proceedings(30)
Venues (Conferences, Journals, ...)
FPGA(1618)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1086 occurrences of 496 keywords

Results
Found 1618 publication records. Showing 1618 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Joydip Das, Steven J. E. Wilton An analytical model relating FPGA architecture parameters to routability. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Eric S. Chung, James C. Hoe, Ken Mai CoRAM: an in-fabric memory architecture for FPGA-based computing. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kan Huang, Junlin Lu, Jiufeng Pang, Yansong Zheng, Hao Li, Dong Tong 0001, Xu Cheng 0001 FPGA prototyping of an amba-based windows-compatible SoC. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, microsoft windows, amba, x86
1Charles Eric LaForest, J. Gregory Steffan Efficient multi-ported memories for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, parallel, memory, multi-port
1Jiyu Zhang, Zhiru Zhang, Sheng Zhou, Mingxing Tan, Xianhua Liu 0001, Xu Cheng 0001, Jason Cong Bit-level optimization for high-level synthesis and FPGA-based acceleration. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bit-level optimization, fpga, high-level synthesis
1Marcus Dutton, David C. Keezer An architecture for graphics processing in an FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, scalability, architecture, flexibility, gpu
1Jason Lee, Lesley Shannon Predicting the performance of application-specific NoCs implemented on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance, FPGAs, topologies, heterogeneous, NoCs, homogeneous, application-specific, routability
1Zhanpeng Jin, Richard Neil Pittman, Alessandro Forin Reconfigurable custom floating-point instructions (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF emips, reconfigurable, extension, floating-point, partial reconfiguration
1Yangyang Pan, Tong Zhang 0002 DRAM-based FPGA enabled by three-dimensional (3d) memory stacking (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dram-based fpga, memory stacking, 3d integration
1Dharmendra P. Gupta, Paul Chow Acceleration of an analytical approach to collateralized debt obligation pricing. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cdo, financial simulation
1Dimitris Theodoropoulos, Georgi Kuzmanov, Georgi Gaydadjiev A 3d-audio reconfigurable processor. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reconfigurable computing, communication systems, beamforming, 3d-audio, wave field synthesis
1Chun Zhang, Yu Hu 0002, Lingli Wang, Lei He 0001, Jiarong Tong Building a faster boolean matcher using bloom filter. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, SAT, bloom filter, boolean matching, re-synthesis
1Jonathan M. Johnson, Michael J. Wirthlin Voter insertion algorithms for FPGA designs using triple modular redundancy. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF scc, tmr, voter insertion, fpga, algorithm, reliability, synchronization
1Shaoshan Liu, Richard Neil Pittman, Alessandro Forin Minimizing partial reconfiguration overhead with fully streaming DMA engines and intelligent ICAP controller (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, partial reconfiguration
1Doris Chen, Deshanand P. Singh, Jeffrey Chromczak, David M. Lewis, Ryan Fung, David Neto, Vaughn Betz A comprehensive approach to modeling, characterizing and optimizing for metastability in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF mtbf, fpga, metastability
1Mingjie Lin, Yaling Ma Scalable architecture for programmable quantum gate array (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reconfigurable, computing, quantum
1Kyle Rupnow, Jacob Adriaens, Wenyin Fu, Katherine Compton Accurately evaluating application performance in simulated hybrid multi-tasking systems. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance evaluation, hybrid systems, heterogeneous systems, full system simulation, multi-tasking systems
1Deepak Unnikrishnan, Ramakrishna Vadlamani, Yong Liao, Abhishek Dwaraki, Jérémie Crenne, Lixin Gao 0001, Russell Tessier Scalable network virtualization using FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, virtual networks
1Huimin Bian, Andrew C. Ling, Alexander Choong, Jianwen Zhu Towards scalable placement for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, convex optimization, quadratic placement, bipartite matching
1Zefu Dai, Nick Ni, Jianwen Zhu A 1 cycle-per-byte XML parsing accelerator. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bart, schema validation, string comparison, xml parsing, ethernet, bloom filter, dom, tree construction
1Diana Göhringer, Michael Hübner 0001, Michael Benz, Jürgen Becker 0001 A semi-automatic toolchain for reconfigurable multiprocessor systems-on-chip: architecture development and application partitioning (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF designflow, toolchain, fpga, partitioning, reconfigurable computing, mpsoc, hardware/software co-design
1Sunwoo Kim, Won Woo Ro FPGA implementation of highly parallelized decoder logic for network coding (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, network coding, fpga implementation, galois field arithmetic
1Chen Chen 0018, Roozbeh Parsa, Nishant Patil, Soogine Chong, Kerem Akarvardar, J. Provine, David Lewis, Jeff Watt, Roger T. Howe, H.-S. Philip Wong, Subhasish Mitra Efficient FPGAs using nanoelectromechanical relays. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CMOS-NEM FPGA, nanoelectromechanical relay
1Shinichi Yasuda, Tetsufumi Tanamoto, Kazutaka Ikegami, Atsuhiro Kinoshita, Keiko Abe, Hirotaka Nishino, Shinobu Fujita High-performance FPGA based on novel DSS-MOSFET and non-volatile configuration memory (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dopant-segregated schottky transistor, nonvolatile configurable memory
1Donglai Dai, Aniruddha S. Vaidya, Roy Saharoy, Seungjoon Park, Dongkook Park, Hariharan L. Thantry, Ralf Plate, Elmar Maas, Akhilesh Kumar, Mani Azimi FPGA-based prototyping of a 2D MESH / TORUS on-chip interconnect (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, routing algorithm, on-chip interconnect, router architecture
1Yi Shan, Bo Wang 0067, Jing Yan, Yu Wang 0002, Ningyi Xu, Huazhong Yang FPMR: MapReduce framework on FPGA. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA framework, RankBoost, MapReduce
1Jan R. Frigo, Eric Y. Raby, Sean M. Brennan, Christophe Wolinski, Charles Wagner, François Charot, Edward Rosten, Vinod Kulathumani Energy efficient sensor node implementations. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF distributed sensor network (dsn), seismic, fpga, video, acoustic, vehicle classification, dsp
1Edward A. Stott, Justin S. J. Wong, N. Pete Sedcole, Peter Y. K. Cheung Degradation in FPGAs: measurement and modelling. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, self test
1Shreesha Srinath, Katherine Compton Automatic generation of high-performance multipliers for FPGAs with asymmetric multiplier blocks. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF asymmetric multipliers, composable multipliers, multiplier design
1Sunita Chandrasekaran, Shilpa Shanbagh, Douglas L. Maskell A dependency graph based methodology for parallelizing HLL applications on FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpgas, bioinformatics, sequence alignment, data dependency analysis, smith-waterman algorithm
1Huandong Wang, Xiang Gao, Yunji Chen, Dan Tang, Weiwu Hu A multi-FPGA based platform for emulating a 100m-transistor-scale processor with high-speed peripherals (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF loongson, multi-fpga, fpga, evaluation, verification, emulation
1Gregory Lucas, Chen Dong 0003, Deming Chen Variation-aware placement for FPGAs with multi-cycle statistical timing analysis. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-cycle, variation-aware, fpga, placement, ssta, statistical static timing analysis
1Hao Wang, Shi Pu, Gabriel Knezek, Jyh-Charn Liu A modular NFA architecture for regular expression matching. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF character class constraint repetition, overlapped matching, FPGA, regular expression, NFA
1Kenneth M. Zick, John P. Hayes On-line sensing for healthier FPGA systems. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF on-line sensing, physically-adaptive computing., fpgas, reliability, process variation, leakage, temperature, dynamic power, ring oscillator, static power, health management
1Shaoshan Liu, Richard Neil Pittman, Alessandro Forin Energy reduction with run-time partial reconfiguration (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, energy
1Larkhoon Leem, James A. Weaver, Metha Jeeradit, James S. Harris Jr. Nano-magnetic non-volatile CMOS circuits for nano-scale FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF spin-torque devices, fpga, spintronics
1Chen Huang 0005, Frank Vahid Server-side coprocessor updating for mobile devices with FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF coprocessing, fpgas, dynamic optimization, acceleration
1Hoang Le, Yi-Hua E. Yang, Viktor K. Prasanna Memory efficient string matching: a modular approach on FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF deep packet classification, fpga, packet filtering
1Behzad Mahdavikhah, Ramin Mafi, Shahin Sirouspour, Nicola Nicolici Haptic rendering of deformable objects using a multiple FPGA parallel computing architecture. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF conjugate gradient (CG), finite-element modeling (FEM), field-programmable gate array (FPGA)
1Brahim Al Farisi, Karel Bruneel, Harald Devos, Dirk Stroobandt Automatic tool flow for shift-register-LUT reconfiguration: making run-time reconfiguration fast and easy (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parameterizable configurations, tmap, fpga, reconfigurable computing, run-time reconfiguration, srl, icap
1Mingjie Lin, Ilia A. Lebedev, John Wawrzynek High-throughput bayesian computing machine with reconfigurable hardware. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reconfigurable hardware, bayesian computing
1Jason Helge Anderson, Chirag Ravishankar FPGA power reduction by guarded evaluation. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, field-programmable gate arrays, fpgas, low-power design, power, logic synthesis, technology mapping
1Jing Yan, Ningyi Xu, Xiongfei Cai, Rui Gao, Yu Wang 0002, Rong Luo, Feng-Hsiung Hsu LambdaRank acceleration for relevance ranking in web search engines (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF lambdarank algorithms
1Alan Mishchenko, Robert K. Brayton, Stephen Jang Global delay optimization using structural choices. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF technology mpping, FPGA, interpolation, windowing, boolean satisfiability, logic optimization
1Mike Brugge, Mohammed A. S. Khalid Design and evaluation of a parameterizable NoC router for FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, architecture, system-on-chip, network-on-chip, design space exploration, router
1Jason Cong, Karthik Gururaj, Wei Jiang, Bin Liu 0006, Kirill Minkovich, Bo Yuan, Yi Zou Accelerating Monte Carlo based SSTA using FPGA. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, monte carlo, SSTA
1Skyler Schneider, Daniel Y. Deng, Daniel Lo, Greg Malysa, G. Edward Suh Implementing dynamic information flow tracking on microprocessors with integrated FPGA fabric (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic inspection, reconfigurable microprocessors, fpga
1Shepard Siegel, Michael J. Wirthlin FPGA-2010 pre-conference workshop on open-source for FPGA. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, open-source
1Graham Schelle, Jamison D. Collins, Ethan Schuchman, Perry H. Wang, Xiang Zou, Gautham N. Chinya, Ralf Plate, Thorsten Mattner, Franz Olbrich, Per Hammarlund, Ronak Singhal, Jim Brayton, Sebastian Steibl, Hong Wang 0003 Intel nehalem processor core made FPGA synthesizable. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF intel nehalem, synthesizable core, fpga, emulator
1Peter A. Jamieson, Kenneth B. Kent Odin II: an open-source verilog HDL synthesis tool for FPGA cad flows (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, verilog hdl
1Julien Lamoureux, Scott Miller, Mihai Sima Fine-grained vs. coarse-grained shift-and-add arithmetic in FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF shift-and-add arithmetic, fpga, cordic, coarse-grained
1Kristian Stevens, Henry Chen, Terry Filiba, Peter L. McMahon, Yun S. Song Application of a reconfigurable computing cluster to ultra high throughput genome resequencing (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF genome resequencing, fpga, acceleration, reconfigurable logic
1Kees A. Vissers, Devada Varma, Vinod Kathail, Jeff Bier, Don MacMillen, Joseph R. Cavallaro Programming high performance signal processing systems in high level languages. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF high level programming models, fpga, signal processing, dsp
1Kuen Hung Tsoi, Wayne Luk Axel: a heterogeneous cluster with FPGAs and GPUs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, heterogeneous cluster
1David L. Foster, Darrin M. Hanna Maximizing area-constrained partial fault tolerance in reconfigurable logic. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF area-constrained, FPGA
1Marc-André Daigneault, Jean-Pierre David Towards 5ps resolution TDC on a dynamically reconfigurable FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF time-to-digital converter, vernier method, field programmable gate array, dynamic reconfiguration
1Amir Masoud Gharehbaghi, Bijan Alizadeh, Masahiro Fujita Aggressive overclocking support using a novel timing error recovery technique on FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF overclocking, timing error detection, timing error recovery, fpga
1Mohammed Y. Niamat, Sowmya Panuganti, Tejas Raviraj Modeling and simulation of nano quantum FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF nano, fpga, qca, quantum
1Jirí Simsa, Satnam Singh Designing hardware with dynamic memory abstraction. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF c to gates, high-level synthesis, parallel execution, dynamic memory, bluespec
1Yi-Hua E. Yang, Viktor K. Prasanna High throughput and large capacity pipelined dynamic search tree on FPGA. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF 2-3 tree, in-place update, ip forwarding, pipelined tree, b-tree, dynamic update, incremental update, openflow
1Jason Cong, Kirill Minkovich LUT-based FPGA technology mapping for reliability (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF logic synthesis, error analysis, windowing, technology mapping, don't cares, fpga lookup table
1Y. Hamid, Martin Langhammer Multiplier architectures for FPGA double precision functions (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, floating point
1Rahul Bhattacharya, Santosh Biswas, Siddhartha Mukhopadhyay FPGA based chip emulation system for test development and verification of analog and mixed signal circuits (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ams testing, concurrent test development, behavioral modeling
1Taiga Takata, Yusuke Matsunaga A heuristic algorithm for LUT-based FPGA technology mapping using the lower bound for DAG covering problem (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, technology mapping
1Arpith C. Jacob, Jeremy D. Buhler, Roger D. Chamberlain Design space exploration of throughput-optimized arrays from recurrence abstractions (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, dynamic programming, systolic array, throughput optimization, recurrences
1Zhimin Chen 0002, Richard Neil Pittman, Alessandro Forin Combining multicore and reconfigurable instruction set extensions. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF extensible microprocessors, reconfigurable instruction set extensions, embedded, multi-core
1Peter Y. K. Cheung, John Wawrzynek (eds.) Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, FPGA 2010, Monterey, California, USA, February 21-23, 2010 Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Usman Ahmed, Guy G. Lemieux, Steven J. E. Wilton The impact of interconnect architecture on via-programmed structured ASICs (VPSAs). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF structured asics, via programmable fabric
1Husain Parvez, Zied Marrakchi, Habib Mehrez Heterogeneous-ASIF: an application specific inflexible FPGA using heterogeneous logic blocks (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF asif, fpga, architecture, application specific, cad
1Lei Chen 0010, Zhiquan Zhang, Zhiping Wen 0001 A novel BIST approach for testing input/output buffers in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF i/o buffers, built-in self-test, fpga testing
1Viktor Pus, Jan Korenek Fast and scalable packet classification using perfect hash functions. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, sram, packet classification
1Alastair M. Smith, Steven J. E. Wilton, Joydip Das Wirelength modeling for homogeneous and heterogeneous FPGA architectural development. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga modeling, wirelength estimation, fpga, architecture design
1Gaurav Mittal, David Zaretsky, Prithviraj Banerjee Streaming implementation of a sequential decompression algorithm on an FPGA. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fix., fpga, system-on-chip, binary translation, hardware-software co-design, streaming architecture
1Sayyed Arash Ostadzadeh, Roel Meeuws, Kamana Sigdel, Koen Bertels A clustering framework for task partitioning based on function-level data usage analysis. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF task clustering, reconfigurable computing, hardware/software co-design, heterogeneous multiprocessors
1Mohammed A. S. Abdallah, Omar S. Elkeelany, Ali T. Alouani Simultaneous multi-channel data acquisition with variable sampling frequencies using a scalable adaptive synchronous controller. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adc, sd card, fpga, real-time, multiplexing, data acquisition, fft
1Like Yan, Gang Wang, Tianzhou Chen The input-aware dynamic adaptation of area and performance for reconfigurable accelerator. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic adaption, reconfigurable system, loop unrolling, loop accelerator
1Raphael Rubin, André DeHon Choose-your-own-adventure routing: lightweight load-time defect avoidance. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bitstream load, in-field repair, defect tolerance, alternatives, programmable interconnect
1Süleyman Sirri Demirsoy, Martin Langhammer Cholesky decomposition using fused datapath synthesis. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cholesky, fused datapath synthesis, fpga, floating-point
1Michael Brown, Cyrus Bazeghi, Matthew R. Guthaus, Jose Renau Measuring and modeling variabilityusing low-cost FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF variability
1Andrew C. Ling, Stephen Dean Brown, Jianwen Zhu, Sean Safarpour Towards automated ECOs in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF pst, optimization, fpga, boolean satisfiability, resynthesis
1Peter Yiannacouras, J. Gregory Steffan, Jonathan Rose Soft vector processors vs FPGA custom hardware: measuring and reducing the gap. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF eembc, soft, viram, fpga, adaptable, vector, data parallel, processor, simd
1Andrew A. Kennings, Kristofer Vorwerk, Arun Kundu, Val Pevzner, Andy Fox FPGA technology mapping with encoded libraries andstaged priority cuts. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF priority cuts, fpga, synthesis, technology mapping
1Thomas C. P. Chau, Philip Heng Wai Leong, Sam M. H. Ho, Brian P. W. Chan, Steve C. L. Yuen, Kong-Pang Pun, Oliver C. S. Choy, Xinan Wang A comparison of via-programmable gate array logic cell circuits. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF logic cell, via-programmable gate arrays
1Stephen Jang, Dennis Wu, Mark Jarvin, Billy Chan, Kevin Chung, Alan Mishchenko, Robert K. Brayton SmartOpt: an industrial strength framework for logic synthesis. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF abc, blif, edge flow, smartopt, fpga, interface, technology mapping
1Yanteng Sun, Peng Li 0031, Guochang Gu, Yuan Wen, Yuan Liu, Dong Liu HMMer acceleration using systolic array based reconfigurable architecture. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable., systolic array, acceleration, hmmer
1Weirong Jiang, Viktor K. Prasanna Large-scale wire-speed packet classification on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, decision tree, pipeline, sram, packet classification
1Dirk Koch, Christian Beckhoff, Jürgen Teich A communication architecture for complex runtime reconfigurable systems and its implementation on spartan-3 FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, reconfiguration, communication architecture
1Seunghun Jin, Dongkyun Kim, Thien Cong Pham, Jae Wook Jeon FPGA implementation of real-time skin color detection with mean-based surface flattening. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, hardware design, skin detection
1Zuo Wang, Feng Shi 0009, Qi Zuo, Weixing Ji, Mengxiao Liu N-port memory mapping for LUT-based FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF logical-to-physical mapping, n-port memory, fpga, hierarchy
1Paul Teehan, Guy G. Lemieux, Mark R. Greenstreet Towards reliable 5Gbps wave-pipelined and 3Gbps surfing interconnect in 65nm FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-chip serdes, fpga, reliable, network-on-chip, interconnect, programmable, wave pipelining, bit-serial, surfing
1Claudio Favi, Edoardo Charbon A 17ps time-to-digital converter implemented in 65nm FPGA technology. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 65nm fpga, deep sub-nanosecond time resolution, high-speed readout, time-correlated instrumentation, time-to-digital converters, ultra-fast digital electronics, optical communications, tdc
1Liu Ling, Neal Oliver, Bhushan Chitlur, Qigang Wang, Alvin Chen, Wenbo Shen, Zhihong Yu, Arthur Sheiman, Ian McCallum, Joseph Grecco, Henry Mitchel, Dong Liu, Prabhat Gupta High-performance, energy-efficient platforms using in-socket FPGA accelerators. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF in-socket accelerator, fpga, agility
1David B. Thomas, Lee W. Howes, Wayne Luk A comparison of CPUs, GPUs, FPGAs, and massively parallel processor arrays for random number generation. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF mppa, fpga, monte-carlo, random numbers, gpu
1Florian Dittmann 0001, Elmar Weber, Norma Montealegre Implementation of the reconfiguration port scheduling on the erlangen slot machine. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF erlangen slot machine, scheduling, fpgas, reconfiguration
1Michael Haselman, Robert Miyaoka, Thomas K. Lewellen, Scott Hauck, Wendy McDougald, Don Dewitt FPGA-based front-end electronics for positron emission tomography. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, localization, timing, positron emission tomography
1Melina Demertzi, Pedro C. Diniz, Mary W. Hall, Anna C. Gilbert, Yi Wang Computation reuse in domain-specific optimization of signal recognition. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF computation reuse, signal recognition, fpga
1Edward C. Lin, Rob A. Rutenbar A multi-fpga 10x-real-time high-speed search engine for a 5000-word vocabulary speech recognizer. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF in silico vox, fpga, speech recognition, dsp
1Cristinel Ababei Parallel placement for FPGAs revisited. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga placement, multithreading, parallel simulated annealing
1David Sheldon, Frank Vahid Making good points: application-specific pareto-point generation for design space exploration using statistical methods. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF configurable platform, fpga, low-power, exploration, speedup, pruning, pareto-optimal, design of experiments
1JIanDe Yu, Jinmei Lai A novel minloop SB design to improve FPGA routability. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF minimum-loop-size maximization method, minloop switch box, routing resources design, fpga
Displaying result #901 - #1000 of 1618 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license