The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DRAMs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1994 (19) 1995-1997 (16) 1998-1999 (17) 2000-2001 (27) 2002-2003 (26) 2004-2005 (20) 2006-2007 (16) 2008-2009 (20) 2010-2012 (18) 2013-2015 (27) 2016-2017 (15) 2018-2020 (20) 2021-2024 (16)
Publication types (Num. hits)
article(86) inproceedings(171)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 188 occurrences of 110 keywords

Results
Found 257 publication records. Showing 257 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
138Betty Prince Application Specific DRAMs Today. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
123Betty Prince A Tribute to Graphics Drams. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
108Mrinmoy Ghosh, Hsien-Hsin S. Lee Smart Refresh: An Enhanced Memory Controller Design for Reducing Energy in Conventional and 3D Die-Stacked DRAMs. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
74Sang-Hoon Lee, Chang-hoon Choi, Jeong-Taek Kong, Wong-Seong Lee, Jei-Hwan Yoo An efficient statistical analysis methodology and its application to high-density DRAMs. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF statistical SPICE modeling, High-Density DRAMs, Principal Component Analysis, Design for Manufacturing, Gradient Method
59Zemo Yang, Samiha Mourad Crosstalk Induced Fault Analysis and Test in DRAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF crosstalk, DRAMs, pattern sensitive faults
59Zaid Al-Ars, Martin Herzog, Ivo Schanstra, Ad J. van de Goor Influence of Bit Line Twisting on the Faulty Behavior of DRAMs. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Bit line twisting, bit line coupling, DRAMs, crosstalk noise, defect simulation, faulty behavior
59Zaid Al-Ars, Said Hamdioui, Ad J. van de Goor Effects of Bit Line Coupling on the Faulty Behavior of DRAMs. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF bit line coupling, DRAMs, Spice simulation, data backgrounds, faulty behavior
53Zaid Al-Ars, Ad J. van de Goor Systematic Memory Test Generation for DRAM Defects Causing Two Floating Nodes. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF two floating nodes, memory testing, DRAMs, dynamic faults, defect simulation
50Tung-Han Tsai, Chin-Lin Chen, Ching-Li Lee, Chua-Chin Wang Power-saving nano-scale DRAMs with an adaptive refreshing clock generator. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
50Sang-uhn Cha, Hongil Yoon High Speed, Minimal Area, and Low Power SEC Code for DRAMs with Large I/O Data Widths. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
50Y. Chang, Minsu Choi, Nohpill Park, Fabrizio Lombardi Repairability Evaluation of Embedded Multiple Region DRAMs. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
50C. Wickman, Duncan G. Elliott, Bruce F. Cockburn Cost Models for Large File Memory DRAMs with ECC and Bad Block Marking. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
45Zaid Al-Ars, Ad J. van de Goor Transient Faults in DRAMs: Concepts, Analysis and Impact on Tests. Search on Bibsonomy MTDT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF detection conditions, memory testing, DRAMs, transient faults, functional fault models, defect simulation
45Zaid Al-Ars, Ad J. van de Goor Impact of memory cell array bridges on the faulty behavior in embedded DRAMs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF memory cell array bridges, memory fault models, dynamic faulty behavior, dynamic RAM, fault simulation, memory tests, circuit simulation, random-access storage, integrated memory circuits, functional faults, embedded DRAMs, faulty behavior, fault primitives
44Bo Zhao 0007, Yu Du, Youtao Zhang, Jun Yang 0002 Variation-tolerant non-uniform 3D cache management in die stacked multicore processor. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 3D die stacking, NUCA, process variation, DRAM
44Zaid Al-Ars, Said Hamdioui, Ad J. van de Goor Space of DRAM fault models and corresponding testing. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Mahmut T. Kandemir, Ozcan Ozturk 0001, Mary Jane Irwin, Ibrahim Kolcu Using Data Compression to Increase Energy Savings in Multi-bank Memories. Search on Bibsonomy Euro-Par The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Gian Carlo Cardarilli, Stefano Bertazzoni, Marcello Salmeri, Adelio Salsano, P. Marinucci Design of Fault-Tolerant Solid State Mass Memory. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
41Yi-Jung Chen, Chia-Lin Yang, Ping-Sheng Lin, Yi-Chang Lu Thermal/performance characterization of CMPs with 3D-stacked DRAMs under synergistic voltage-frequency control of cores and DRAMs. Search on Bibsonomy RACS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
41Ping-Sheng Lin, Yi-Jung Chen, Chia-Lin Yang, Yi-Chang Lu Exploring synergistic DVFS control of cores and DRAMs for thermal efficiency in CMPs with 3D-stacked DRAMs. Search on Bibsonomy ISLPED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
39Zaid Al-Ars, Ad J. van de Goor Approximating Infinite Dynamic Behavior for DRAM Cell Defects. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF infinite dynamic faults, memory testing, DRAMs, functional fault models, defect simulation
39Stephen J. Walsh, John A. Board Pollution control caching. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pollution control caching, high speed processors, bandwidth mismatch, standard DRAMS, on-chip caches, miss ratio statistics, expected clock cycles per instruction, main memory latencies, PCC+VB, discrete event simulation, memory architecture, trace driven simulation, cache storage, memory performance, ANOVA, DRAM chips
35Wei Zhang 0032, Ki Chul Chun, Chris H. Kim Variation aware performance analysis of gain cell embedded DRAMs. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bitline delay, gain cell, process variation, monte carlo simulation, embedded DRAM
35Chua-Chin Wang, Yih-Long Tseng, Chih-Chiang Chiu A temperature-insensitive self-recharging circuitry used in DRAMs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Ju Yeob Kim, Sung Je Hong, Jong Kim 0001 Parallely testable design for detection of neighborhood pattern sensitive faults in high density DRAMs. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Sybille Hellebrand, Hans-Joachim Wunderlich, Alexander A. Ivaniuk, Yuri V. Klimets, Vyacheslav N. Yarmolik Efficient Online and Offline Testing of Embedded DRAMs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF online checking, BIST, systems-on-a-chip, Embedded memories
35Zemo Yang, Samiha Mourad Crosstalk in Deep Submicron DRAMs. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Noise and Submicron, Crosstalk, DRAM
35Yasunao Katayama, Yasushi Negishi, Sumio Morioka Efficient Error Correction Code Configurations for Quasi-Nonvolatile Data Retention by DRAMs. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
35Sybille Hellebrand, Hans-Joachim Wunderlich, Alexander A. Ivaniuk, Yuri V. Klimets, Vyacheslav N. Yarmolik Error Detecting Refreshment for Embedded DRAMs. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Daniel Schmidt 0001, Norbert Wehn DRAM power management and energy consumption: a critical assessment. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF modelling, measurement, power management, SDRAM
29Joohee Kim, Marios C. Papaefthymiou Block-based multiperiod dynamic memory design for low data-retention power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Shyue-Kung Lu A Novel Built-In Self-Repair Approach for Embedded RAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF divided word line, fault tolerance, redundancy, low power design, embedded memory
29Norman Margolus An FPGA architecture for DRAM-based systolic computations. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Tsuneo Ikedo Design and performance evaluation of a pixel cache implemented within application- specific integrated circuits. Search on Bibsonomy Vis. Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Polygon rendering, Multimedia systems, Graphics processor, HDTV
24Zaid Al-Ars, Said Hamdioui, Jörg E. Vollrath Investigations of Faulty DRAM Behavior Using Electrical Simulation Versus an Analytical Approach. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF memory testing, DRAMs, defect simulation, analytical evaluation, faulty behavior
24Zaid Al-Ars, Ad J. van de Goor Analyzing the Impact of Process Variations on DRAM Testing Using Border Resistance Traces. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF border resistance trace, process variations, memory testing, DRAMs, defect simulation
24Zaid Al-Ars, Ad J. van de Goor Modeling Techniques and Tests for Partial Faults in Memory Devices. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF partial faults, completing operations, fault models, memory testing, DRAMs, defect simulation
24Manoj Franklin, Kewal K. Saluja Hypergraph Coloring and Reconfigured RAM Testing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF hypergraph coloring, reconfigured RAM testing, RAM decoders, critical path lengths, memory chips, physical neighborhood pattern sensitive faults, reconfigured DRAMs, decoder faults, computational complexity, logic testing, redundancy, reconfigurable architectures, stuck-at faults, graph colouring, random-access storage, integrated memory circuits, test lengths, test algorithms, DRAM chips, silicon area
21Eduardo Ortega, Jonti Talukdar, Woohyun Paik, Tyler K. Bletsch, Krishnendu Chakrabarty Rowhammer Vulnerability of DRAMs in 3-D Integration. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
21Yok Jye Tang, Xinmiao Zhang Generalized Integrated Interleaved Codes for High-Density DRAMs. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
21In Jun Jung, Tae-Hyun Kim, Keonhee Cho, Ki-Ryong Kim, Seong-Ook Jung An Offset-Canceled Sense Amplifier for DRAMs With Hidden Offset-Cancellation Time and Boosted Internal-Voltage-Difference. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Sai Qian Zhang, Thierry Tambe, Nestor Cuevas, Gu-Yeon Wei, David Brooks 0001 CAMEL: Co-Designing AI Models and Embedded DRAMs for Efficient On-Device Learning. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Rachmad Vidya Wicaksana Putra, Muhammad Abdullah Hanif, Muhammad Shafique 0001 EnforceSNN: Enabling Resilient and Energy-Efficient Spiking Neural Network Inference considering Approximate DRAMs for Embedded Systems. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Florian Frank 0004, Wenjie Xiong 0001, Nikolaos Athanasios Anagnostopoulos, André Schaller, Tolga Arul, Farinaz Koushanfar, Stefan Katzenbeisser 0001, Ulrich Rührmair, Jakub Szefer Abusing Commodity DRAMs in IoT Devices to Remotely Spy on Temperature. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Sungmock Ha, S. Lee, G. H. Bae, D. S. Lee, S. H. Kim, B. W. Woo, N.-H. Lee, Y. S. Lee, S. Pae Reliability Characterization of HBM featuring $\text{HK}+\text{MG}$ Logic Chip with Multi-stacked DRAMs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Yichen Jiang, Shuo Wang 0003, Renato Figueiredo, Yier Jin Warm-Boot Attack on Modern DRAMs. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Rob A. Damsteegt, Ramon W. J. Overwater, Masoud Babaie, Fabio Sebastiano A Benchmark of Cryo-CMOS 40-nm Embedded SRAM/DRAMs for Quantum Computing. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
21Soheil Khadirsharbiyani, Jagadish Kotra, Karthik Rao, Mahmut T. Kandemir Data Convection: A GPU-Driven Case Study for Thermal-Aware Data Placement in 3D DRAMs. Search on Bibsonomy Proc. ACM Meas. Anal. Comput. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Florian Frank 0004, Wenjie Xiong 0001, Nikolaos Athanasios Anagnostopoulos, André Schaller, Tolga Arul, Farinaz Koushanfar, Stefan Katzenbeisser 0001, Ulrich Rührmair, Jakub Szefer Abusing Commodity DRAMs in IoT Devices to Remotely Spy on Temperature. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Soheil Khadirsharbiyani, Jagadish Kotra, Karthik Rao, Mahmut Taylan Kandemir Data Convection: A GPU-Driven Case Study for Thermal-Aware Data Placement in 3D DRAMs. Search on Bibsonomy SIGMETRICS (Abstracts) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Deepak M. Mathew, Hammam Kattan, Christian Weis, Jörg Henkel, Norbert Wehn, Hussam Amrouch Longevity of Commodity DRAMs in Harsh Environments Through Thermoelectric Cooling. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Bobby Bose, Ishan G. Thakkar Characterization and Mitigation of Electromigration Effects in TSV-Based Power Delivery Network Enabled 3D-Stacked DRAMs. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
21Zhwen Chen, Young-Suk Kim, Tadashi Fukuda, Koji Sakui, Takayuki Ohba, Tatsuji Kobayashi, Takashi Obara Reliability of Wafer-Level Ultra-Thinning down to 3 µm using 20 nm-Node DRAMs. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Bobby Bose, Ishan G. Thakkar Characterization and Mitigation of Electromigration Effects in TSV-Based Power Delivery Network Enabled 3D-Stacked DRAMs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
21Jungmin Yoon, Hyungrok Do, Daehyun Koh, Seunghan Oak, Junphyo Lee, Deog-Kyoon Jeong A Capacitor-Coupled Offset-Canceled Sense Amplifier for DRAMs With Reduced Variation of Decision Threshold Voltage. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Andrea Bonetti, Roman Golman, Robert Giterman, Adam Teman, Andreas Burg Gain-Cell Embedded DRAMs: Modeling and Design Space. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Yi Jiang, Gina Giase, Kay Grennan, Annie W. Shieh, Yan Xia, Lide Han, Quan Wang 0004, Qiang Wei, Rui Chen 0021, Sihan Liu, Kevin P. White, Chao Chen, Bingshan Li, Chunyu Liu DRAMS: A tool to detect and re-align mixed-up samples for integrative studies of multi-omics data. Search on Bibsonomy PLoS Comput. Biol. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Robert Giterman, Andrea Bonetti, Ester Vicario Bravo, Tzachi Noy, Adam Teman, Andreas Burg Current-Based Data-Retention-Time Characterization of Gain-Cell Embedded DRAMs Across the Design and Variations Space. Search on Bibsonomy IEEE Trans. Circuits Syst. I Fundam. Theory Appl. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Andrea Bonetti, Roman Golman, Robert Giterman, Adam Teman, Andreas Burg Gain-Cell Embedded DRAMs: Modeling and Design Space. Search on Bibsonomy ISCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Tsung-Fu Hsieh, Jin-Fu Li 0001, Jenn-Shiang Lai, Chih-Yen Lo, Ding-Ming Kwai, Yung-Fa Chou Refresh Power Reduction of DRAMs in DNN Systems Using Hybrid Voting and ECC Method. Search on Bibsonomy ITC-Asia The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
21Jae Young Hur, Sang Woo Rhim, Beom Hak Lee, Wooyoung Jang Adaptive Linear Address Map for Bank Interleaving in DRAMs. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Tengtao Li, Sachin S. Sapatnekar Stress-Induced Performance Shifts in 3D DRAMs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Fei Gao 0016, Georgios Tziantzioulis, David Wentzlaff ComputeDRAM: In-Memory Compute Using Off-the-Shelf DRAMs. Search on Bibsonomy MICRO The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Abdessamad Najdi, Daniele Rossi 0001, Vasileios Tenentes Analysis on Retention Time and Adaptive Refresh in Embedded DRAMs with Aging Benefits. Search on Bibsonomy IOLTS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Nima Karimian, Fatemeh Tehranipoor How to Generate Robust Keys from Noisy DRAMs? Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Marco Widmer, Andrea Bonetti, Andreas Burg FPGA-Based Emulation of Embedded DRAMs for Statistical Error Resilience Evaluation of Approximate Computing Systems. Search on Bibsonomy DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Hoseok Seol, Wongyu Shin, Jaemin Jang, Jungwhan Choi, Hakseung Lee, Lee-Sup Kim Elaborate Refresh: A Fine Granularity Retention Management for Deep Submicron DRAMs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Esteve Amat, Antonio Calomarde, Ramon Canal, Antonio Rubio 0001 Optimization of FinFET-Based Gain Cells for Low Power Sub-V T Embedded DRAMs. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Jeong Cho, Young-Jae Min An all-digital duty-cycle and phase-skew correction circuit for QDR DRAMs. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Jongsun Kim, S. W. Han A low-power fast-lock DCC with a digital duty-cycle adjuster for LPDDR3 and LPDDR4 DRAMs. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Konstantinos Tovletoglou, Lev Mukhanov, Georgios Karakonstantis, Athanasios Chatzidimitriou, George Papadimitriou 0001, Manolis Kaliorakis, Dimitris Gizopoulos, Zacharias Hadjilambrou, Yiannakis Sazeides, Alejandro Lampropulos, Shidhartha Das, Phong Vo Measuring and Exploiting Guardbands of Server-Grade ARMv8 CPU Cores and DRAMs. Search on Bibsonomy DSN Workshops The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Deepak M. Mathew, Martin Schultheis, Carl Christian Rheinländer, Chirag Sudarshan, Christian Weis, Norbert Wehn, Matthias Jung 0001 An analysis on retention error behavior and power consumption of recent DDR4 DRAMs. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Kuan-Te Wu, Jin-Fu Li 0001, Chih-Yen Lo, Jenn-Shiang Lai, Ding-Ming Kwai, Yung-Fa Chou A channel-sharable built-in self-test scheme for multi-channel DRAMs. Search on Bibsonomy ASP-DAC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Anaam Ansari, Tokunbo Ogunfunmi Selective Data Transfer from DRAMs for CNNs. Search on Bibsonomy SiPS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
21Bibhas Ghoshal, Chittaranjan Mandal 0002, Indranil Sengupta 0001 Refresh re-use based transparent test for detection of in-field permanent faults in DRAMs. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Matthias Jung 0001, Kira Kraft, Norbert Wehn A new state model for DRAMs using Petri Nets. Search on Bibsonomy SAMOS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Chia-Ming Chang, Yong-Xiao Chen, Jin-Fu Li 0001 A built-in self-test scheme for classifying refresh periods of DRAMs. Search on Bibsonomy ETS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Tengtao Li, Sachin S. Sapatnekar Stress-aware performance evaluation of 3D-stacked wide I/O DRAMs. Search on Bibsonomy ICCAD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Lei Jiang 0001, Minje Kim, Wujie Wen, Danghui Wang XNOR-POP: A processing-in-memory architecture for binary Convolutional Neural Networks in Wide-IO2 DRAMs. Search on Bibsonomy ISLPED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Tsung-Fu Hsieh, Jin-Fu Li 0001, Kuan-Te Wu, Jenn-Shiang Lai, Chih-Yen Lo, Ding-Ming Kwai, Yung-Fa Chou Software-hardware-cooperated built-in self-test scheme for channel-based DRAMs. Search on Bibsonomy ITC-Asia The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Yiorgos Sfikas, Yiorgos Tsiatouhas Testing Neighbouring Cell Leakage and Transition Induced Faults in DRAMs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Sherif M. Sharroush Performance optimization of 1T-1C DRAMs: A quantitative study. Search on Bibsonomy Microelectron. J. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Klaus Hofmann, Tu Darmstadt The long way to power efficient, high performance DRAMs. Search on Bibsonomy PATMOS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Mohsen Ghasempour, Aamer Jaleel, Jim D. Garside, Mikel Luján HAPPY: Hybrid Address-based Page Policy in DRAMs. Search on Bibsonomy MEMSYS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Mohsen Ghasempour, Aamer Jaleel, Jim D. Garside, Mikel Luján DReAM: Dynamic Re-arrangement of Address Mapping to Improve the Performance of DRAMs. Search on Bibsonomy MEMSYS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Matthias Jung 0001, Carl Christian Rheinländer, Christian Weis, Norbert Wehn Reverse Engineering of DRAMs: Row Hammer with Crosshair. Search on Bibsonomy MEMSYS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Dae Hyun Kim 0003, Linda S. Milor ECC-ASPIRIN: An ECC-assisted post-package repair scheme for aging errors in DRAMs. Search on Bibsonomy VTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Zichuan Liu, Yuan Liang, Nan Li, Guangyin Feng, Hao Yu 0001, Shaojie Chen An Energy-efficient Adaptive Sub-THz Wireless Interconnect with MIMO-Beamforming between Cores and DRAMs. Search on Bibsonomy NANOCOM The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Chih-Sheng Hou, Yong-Xiao Chen, Jin-Fu Li 0001, Chih-Yen Lo, Ding-Ming Kwai, Yung-Fa Chou A built-in self-repair scheme for DRAMs with spare rows, columns, and bits. Search on Bibsonomy ITC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Chung-Hsiang Lin, De-Yu Shen, Yi-Jung Chen, Chia-Lin Yang, Cheng-Yuan Michael Wang SECRET: A Selective Error Correction Framework for Refresh Energy Reduction in DRAMs. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Mohsen Ghasempour, Jim D. Garside, Aamer Jaleel, Mikel Luján DReAM: Dynamic Re-arrangement of Address Mapping to Improve the Performance of DRAMs. Search on Bibsonomy CoRR The full citation details ... 2015 DBLP  BibTeX  RDF
21Mohsen Ghasempour, Aamer Jaleel, Jim D. Garside, Mikel Luján HAPPY: Hybrid Address-based Page Policy in DRAMs. Search on Bibsonomy CoRR The full citation details ... 2015 DBLP  BibTeX  RDF
21Dae Hyun Kim 0003, Soonyoung Cha, Linda S. Milor AVERT: An elaborate model for simulating variable retention time in DRAMs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Dae Hyun Kim 0003, Soonyoung Cha, Linda S. Milor Built-in self-test for bias temperature instability, hot-carrier injection, and gate oxide breakdown in embedded DRAMs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Krishna M. Kavi, Stefano Pianelli, Giandomenico Pisano, Giuseppe Regina, Mike Ignatowski Memory organizations for 3D-DRAMs and PCMs in processor memory hierarchy. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Aimed Lutfi Elgreatly, Aimed Ahmed Shaaban, El-Sayed M. El-Rabaie Enhancing Power Delay Product in DRAMs using resonant tunneling diode buffer. Search on Bibsonomy ICM The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Christian Weis, Matthias Jung 0001, Omar Naji, Norbert Wehn, Cristiano Santos, Pascal Vivet, Andreas Hansson 0001 Thermal Aspects and High-Level Explorations of 3D Stacked DRAMs. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Yiorgos Sfikas, Yiorgos Tsiatouhas, Mottaqiallah Taouil, Said Hamdioui On resistive open defect detection in DRAMs: The charge accumulation effect. Search on Bibsonomy ETS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Che-Wei Chou, Yong-Xiao Chen, Jin-Fu Li 0001 Testing Inter-Word Coupling Faults of Wide I/O DRAMs. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Matthias Jung 0001, Éder Zulian, Deepak M. Mathew, Matthias Herrmann, Christian Brugger, Christian Weis, Norbert Wehn Omitting Refresh: A Case Study for Commodity and Wide I/O DRAMs. Search on Bibsonomy MEMSYS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 257 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license