The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Gating with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1995 (15) 1996-1998 (21) 1999-2000 (25) 2001-2002 (39) 2003 (38) 2004 (55) 2005 (57) 2006 (83) 2007 (93) 2008 (109) 2009 (92) 2010 (63) 2011 (74) 2012 (72) 2013 (62) 2014 (71) 2015 (63) 2016 (67) 2017 (48) 2018 (73) 2019 (91) 2020 (78) 2021 (71) 2022 (85) 2023 (91) 2024 (14)
Publication types (Num. hits)
article(674) incollection(4) inproceedings(965) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 519 occurrences of 286 keywords

Results
Found 1650 publication records. Showing 1650 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
107Fei Li 0003, Lei He 0001 Maximum current estimation considering power gating. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF low-power design, ATPG, power estimation, power gating
95Christophe Giacomotto, Mandeep Singh, Milena Vratonjic, Vojin G. Oklobdzija Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Clocked storage elements, VLSI, power consumption, flip-flops, voltage scaling, clock gating, power gating, energy optimization, MTCMOS, circuit optimization, circuit tuning, circuit analysis
90Chung-Yu Chang, Wei-Bin Yang, Ching-Ji Huang, Cheng-Hsing Chien New Power Gating Structure with Low Voltage Fluctuations by Bulk Controller in Transition Mode. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
88Ranan Fraer, Gila Kamhi, Muhammad K. Mhameed A new paradigm for synthesis and propagation of clock gating conditions. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low-power design, clock gating
88Yi-Ping You, Chung-Wen Huang, Jenq Kuen Lee Compilation for compact power-gating controls. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Compilers for low power, balanced scheduling, power-gating mechanisms, data-flow analysis, leakage-power reduction
88Yingmin Li, Mark Hempstead, Patrick Mauro, David M. Brooks, Zhigang Hu, Kevin Skadron Power and thermal effects of SRAM vs. Latch-Mux design styles and clock gating choices. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF architecture, power, temperature, clock gating
85Xrysovalantis Kavousianos, Dimitris Bakalis, Dimitris Nikolos Efficient partial scan cell gating for low-power scan-based testing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF partial gating, scan cell gating, Low-power testing, scan-based testing
85Suhwan Kim, Stephen V. Kosonocky, Daniel R. Knebel, Kevin Stawiasz Experimental measurement of a novel power gating structure with intermediate power saving mode. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF system-on-a-chip (SOC) design, wake-up latency, clock gating, power gating, inductive noise, ground bounce
80Ashoka Visweswara Sathanur, Andrea Calimera, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino On quantifying the figures of merit of power-gating for leakage power minimization in nanometer CMOS circuits. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
80Harmander Singh, Kanak Agarwal, Dennis Sylvester, Kevin J. Nowka Enhanced Leakage Reduction Techniques Using Intermediate Strength Power Gating. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
80Peng Tu, David A. Padua Efficient Building and Placing of Gating Functions. Search on Bibsonomy PLDI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
78Anja Niedermeier, Kjetil Svarstad, Frank Bouwens, Jos Hulzink, Jos Huisken The challenges of implementing fine-grained power gating. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage power minimization, analysis, power management, register-transfer-level, power modeling, power gating
78Eli Arbel, Cindy Eisner, Oleg Rokhlenko Resurrecting infeasible clock-gating functions. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clustering, low power, approximation, clock gating
78Zhigang Hu, Alper Buyuktosunoglu, Viji Srinivasan, Victor V. Zyuban, Hans M. Jacobson, Pradip Bose Microarchitectural techniques for power gating of execution units. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF execution units, low power, microarchitecture, power-gating
76Tong Lin 0002, Laura I. Cervino, Xiaoli Tang, Nuno Vasconcelos, Steve B. Jiang Tumor Targeting for Lung Cancer Radiotherapy Using Machine Learning Techniques. Search on Bibsonomy ICMLA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
76Suhwan Kim, Stephen V. Kosonocky, Daniel R. Knebel Understanding and minimizing ground bounce during mode transition of power gating structures. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF system-on-a-chip (SOC) design, wake-up latency, clock gating, power gating, inductive noise, ground bounce
74Yi-Ping You, Chung-Wen Huang, Jenq Kuen Lee A sink-n-hoist framework for leakage power reduction. Search on Bibsonomy EMSOFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF balanced scheduling, compilers for low power, power-gating mechanisms, data-flow analysis, leakage power reduction
71Nainesh Agarwal, Nikitas J. Dimopoulos DSPstone Benchmark of CoDeL's Automated Clock Gating Platform. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
71Philip Teichmann, Jürgen Fischer, Stephan Henzler, Ettore Amirante, Doris Schmitt-Landsiedel Power-Clock Gating in Adiabatic Logic Circuits. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
71Hans M. Jacobson, Pradip Bose, Zhigang Hu, Alper Buyuktosunoglu, Victor V. Zyuban, Richard J. Eickemeyer, Lee Eisen, John Griswell, Doug Logan, Balaram Sinharoy, Joel M. Tendler Stretching the Limits of Clock-Gating Efficiency in Server-Class Processors. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
71Pilar Parra Fernández, Antonio J. Acosta 0001, Manuel Valencia-Barrero Selective Clock-Gating for Low Power/Low Noise Synchronous Counters 1. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
69Jun Seomun, Insup Shin, Youngsoo Shin Synthesis and implementation of active mode power gating circuits. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF active leakage, active-mode power gating, low power
66Mohammed ElShoukry, Mohammad Tehranipoor, C. P. Ravikumar A critical-path-aware partial gating approach for test power reduction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF partial gating, scan cell gating, Low-power testing, scan testing
66Enrico Macii, Letícia Maria Veiras Bolzani, Andrea Calimera, Alberto Macii, Massimo Poncino Integrating Clock Gating and Power Gating for Combined Dynamic and Leakage Power Optimization in Digital CMOS Circuits. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
61Juanjuan Chen, Xing Wei, Yunjian Jiang, Qiang Zhou 0001 Improve clock gating through power-optimal enable function selection. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
61Ying Cui, Jennifer G. Dy, Gregory C. Sharp, Brian M. Alexander, Steve B. Jiang Learning methods for lung tumor markerless gating in image-guided radiotherapy. Search on Bibsonomy KDD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF applied machine learning, image-guided radiotherapy, clustering, classification, support vector machine, mixture model
61Nainesh Agarwal, Nikitas J. Dimopoulos Automated Power Gating of Registers Using CoDeL and FSM Branch Prediction. Search on Bibsonomy SAMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
61Aida Todri, Malgorzata Marek-Sadowska, Shih-Chieh Chang Analysis and optimization of power-gated ICs with multiple power gating configurations. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
61Vishwanadh Tirumalashetty, Hamid Mahmoodi Clock Gating and Negative Edge Triggering for Energy Recovery Clock. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
61Hailin Jiang, Malgorzata Marek-Sadowska Power-Gating Aware Floorplanning. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
61Swarup Bhunia, Hamid Mahmoodi-Meimand, Debjyoti Ghosh, Saibal Mukhopadhyay, Kaushik Roy 0001 Low-power scan design using first-level supply gating. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
61Hailin Jiang, Malgorzata Marek-Sadowska, Sani R. Nassif Benefits and Costs of Power-Gating Technique. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
55Hirofumi Iwato, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai A low power VLIW processor generation method by means of extracting non-redundant activation conditions. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low power, ASIP, clock gating, VLIW processor
52Ashoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino A Scalable Algorithmic Framework for Row-Based Power-Gating. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
52Carlo Gatta, Oriol Pujol, Oriol Rodriguez-Leor, Josepa Mauri, Petia Radeva Robust Image-Based IVUS Pullbacks Gating. Search on Bibsonomy MICCAI (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
52Deepa Kannan, Aviral Shrivastava, Vipin Mohan, Sarvesh Bhardwaj, Sarma B. K. Vrudhula Temperature and Process Variations Aware Power Gating of Functional Units. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
52Xiaotao Chang, Mingming Zhang, Ge Zhang 0007, Zhimin Zhang, Jun Wang Adaptive Clock Gating Technique for Low Power IP Core in SoC Design. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
52Nainesh Agarwal, Nikitas J. Dimopoulos Efficient Automated Clock Gating Using CoDeL. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Yan Zhang, Jussi Roivainen, Aarne Mämmelä Clock-Gating in FPGAs: A Novel and Comparative Evaluation. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Swarup Bhunia, Hamid Mahmoodi-Meimand, Saibal Mukhopadhyay, Debjyoti Ghosh, Kaushik Roy 0001 A Novel Low-Power Scan Design Technique Using Supply Gating. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
50Tak-Kei Lam, Steve Yang, Wai-Chung Tang, Yu-Liang Wu Logic synthesis for low power using clock gating and rewiring. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF low power, logic synthesis, clock gating
50Andrea Calimera, Enrico Macii, Massimo Poncino NBTI-aware power gating for concurrent leakage and aging optimization. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF aging, leakage, power-gating, nbti
50Liangpeng Guo, Yici Cai, Qiang Zhou 0001, Le Kang, Xianlong Hong A novel performance driven power gating based on distributed sleep transistor network. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF physical design, power-gating, sleep transistors
50Hailin Jiang, Malgorzata Marek-Sadowska Power gating scheduling for power/ground noise reduction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scheduling, power gating, power supply noise
50Hyung-Ock Kim, Youngsoo Shin, Hyuk Kim, Iksoo Eo Physical design methodology of power gating circuits for standard-cell-based design. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, leakage current, power gating
50Hans M. Jacobson Improved clock-gating through transparent pipelining. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF adaptive pipeline depth, dynamic pipeline scaling, optimal pipeline clocking, pipeline stage unification, transparent pipeline, low power, high performance, microarchitecture, circuits, clock gating
50Jia Di, Jiann-Shiun Yuan Power-aware pipelined multiplier design based on 2-dimensional pipeline gating. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF 2-D pipeline gating, power-awareness, array multiplier
48Haitham Akkary, Srikanth T. Srinivasan, Rajendar Koltur, Yogesh Patil, Wael Refaai Perceptron-Based Branch Confidence Estimation. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
48Alper Buyuktosunoglu, Tejas Karkhanis, David H. Albonesi, Pradip Bose Energy Efficient Co-Adaptive Instruction Fetch and Issue. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Yan Yang, Jinwen Ma A Single Loop EM Algorithm for the Mixture of Experts Architecture. Search on Bibsonomy ISNN (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF The mixture of experts (ME) architecture, The EM algorithm, Gating network, Single loop, Least mean square regression
45Seungwhun Paik, Youngsoo Shin Multiobjective optimization of sleep vector for zigzag power-gated circuits in standard cell elements. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sleep vector, zigzag power gating, low power, leakage current, standard-cell
45Youngsoo Shin, Sewan Heo, Hyung-Ock Kim, Jung Yun Choi Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gate leakage current, nanometer-scale CMOS circuits, supply switching, ground collapse, standard-cell elements, 45 nm, 65 nm, power gating, subthreshold leakage current, 90 nm
45Aida Todri, Shih-Chieh Chang, Malgorzata Marek-Sadowska Electromigration and voltage drop aware power grid optimization for power gated ICs. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power supply grid, power gating, electromigration
45Yi-Ping You, Chingren Lee, Jenq Kuen Lee Compilers for leakage power reduction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Compilers for low power, power-gating mechanisms, leakage-power reduction
45Eric L. Hill, Mikko H. Lipasti Stall cycle redistribution in a transparent fetch pipeline. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF pipeline gating, microarchitecture, dynamic power, instruction fetch
45Chunhong Chen, Changjun Kang, Majid Sarrafzadeh Activity-sensitive clock tree construction for low power. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF low power, clock gating, clock tree, activity pattern
42Hans Vandierendonck, André Seznec Fetch Gating Control through Speculative Instruction Window Weighting. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
42Li Li, Ken Choi, Seongmo Park, MooKyung Chung Selective clock gating by using wasting toggle rate. Search on Bibsonomy EIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
42Shih-Hsu Huang, Chun-Hua Cheng Timing driven power gating in high-level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
42Jithendra Srinivas, Madhusudan Rao, Sukumar Jairam, H. Udayakumar, Jagdish C. Rao Clock gating effectiveness metrics: Applications to power optimization. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
42Charbel J. Akl, Rafic A. Ayoubi, Magdy A. Bayoumi An effective staggered-phase damping technique for suppressing power-gating resonance noise during mode transition. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
42Ehsan Pakbaznia, Massoud Pedram Design and application of multimodal power gating structures. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
42Eli Arbel, Oleg Rokhlenko, Karen Yorav SAT-based synthesis of clock gating functions using 3-valued abstraction. Search on Bibsonomy FMCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
42Ashoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Temporal Discharge Current Driven Clustering for Improved Leakage Power Reduction in Row-Based Power-Gating. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Yu-Min Kuo, Shih-Hung Weng, Shih-Chieh Chang A novel sequential circuit optimization with clock gating logic. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Petr Kadlec, Bogdan Gabrys Learnt Topology Gating Artificial Neural Networks. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Ku He, Rong Luo, Yu Wang 0002 A power gating scheme for ground bounce reduction during mode transition. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Mototsugu Hamada, Takeshi Kitahara, Naoyuki Kawabe, Hironori Sato, Tsuyoshi Nishikawa, Takayoshi Shimazawa, Takahiro Yamashita, Hiroyuki Hara, Yukihito Oowaki An automated runtime power-gating scheme. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Sukmoon Chang, Jinghao Zhou, Qingshan Liu 0001, Dimitris N. Metaxas, Bruce G. Haffty, Sung N. Kim, Salma J. Jabbour, Ning J. Yue Registration of Lung Tissue Between Fluoroscope and CT Images: Determination of Beam Gating Parameters in Radiotherapy. Search on Bibsonomy MICCAI (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Hans Vandierendonck, André Seznec Fetch Gating Control Through Speculative Instruction Window Weighting. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Hyung-Ock Kim, Youngsoo Shin Analysis and optimization of gate leakage current of power gating circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Kanak Agarwal, Kevin J. Nowka, Harmander Deogun, Dennis Sylvester Power Gating with Multiple Sleep Modes. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Changbo Long, Jinjun Xiong, Yongpan Liu Techniques of Power-gating to Kill Sub-Threshold Leakage. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Magnus Själander, Mindaugas Drazdziulis, Per Larsson-Edefors, Henrik Eriksson A low-leakage twin-precision multiplier using reconfigurable power gating. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42Martin von Siebenthal, Philippe C. Cattin, U. Gamper, Alan J. Lomax, Gábor Székely 4D MR Imaging Using Internal Respiratory Gating. Search on Bibsonomy MICCAI (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42Ramon Canal, Antonio González 0001, James E. Smith 0001 Software-Controlled Operand-Gating. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Karen A. Moxon, Greg A. Gerhardt, Maria Gulinello, Lawrence E. Adler Inhibitory control of sensory gating in a computer model of the CA3 region of the hippocampus. Search on Bibsonomy Biol. Cybern. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Karen A. Moxon, Greg A. Gerhardt, Lawrence E. Adler Dopaminergic modulation of the P50 auditory-evoked potential in a computer model of the CA3 region of the hippocampus: its relationship to sensory gating in schizophrenia. Search on Bibsonomy Biol. Cybern. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Martin Saint-Laurent, Animesh Datta A low-power clock gating cell optimized for low-voltage operation in a 45-nm technology. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clock gater, clock gating cell, local clock buffer, set-reset latch
40Seungwhun Paik, Sangmin Kim, Youngsoo Shin Wakeup synthesis and its buffered tree construction for power gating circuit designs. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF wakeup synthesis, leakage, power gating
40Rahul Singh, AhReum Kim, SoYoung Kim, Suhwan Kim A three-step power-gating turn-on technique for controlling ground bounce noise. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF mode transition, system-on-a-chip (SOC) design, power-gating, inductive noise, ground bounce
40Anita Lungu, Pradip Bose, Alper Buyuktosunoglu, Daniel J. Sorin Dynamic power gating with quality guarantees. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF execution units, low power, power management, microarchitecture, power gating
40Jungseob Lee, Nam Sung Kim Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF multicore processor, DVFS, power gating
40Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, Kaushik Roy 0001 Arbitrary Two-Pattern Delay Testing Using a Low-Overhead Supply Gating Technique. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Enhanced scan, Supply gating, Delay fault testing, Two-pattern testing
40Aaron P. Hurst Automatic synthesis of clock gating logic with controlled netlist perturbation. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, clock gating, logic optimization, dynamic power
40De-Shiuan Chiou, Shih-Hsin Chen, Shih-Chieh Chang, Chingwei Yeh Timing driven power gating. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF leakage current, power gating, IR drop
38Ramkumar Jayaseelan, Tulika Mitra Dynamic thermal management via architectural adaptation. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF architecture adaptation, dynamic thermal management
38Weixiang Shen, Yici Cai, Xianlong Hong, Jiang Hu Gate planning during placement for gated clock network. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Youngsoo Shin, Sewan Heo, Hyung-Ock Kim, Jung Yun Choi Supply Switching With Ground Collapse: Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Pietro Babighian, Luca Benini, Enrico Macii A scalable algorithm for RTL insertion of gated clocks based on ODCs computation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Philippe Manet, David Bol, Renaud Ambroise, Jean-Didier Legat Low Power Techniques Applied to a 80C51 Microcontroller for High Temperature Applications. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Juan Chen 0001, Yong Dong, Huizhan Yi, Xuejun Yang Power Consumption Analysis of Embedded Multimedia Application. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Nithya Raghavan, Venkatesh Akella, Smita Bakshi Automatic Insertion of Gated Clocks at Register Transfer Level. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Ashutosh Chakraborty, David Z. Pan Skew management of NBTI impacted gated clock trees. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clock skew, clock gating, NBTI
36Wanping Zhang, Wenjian Yu, Xiang Hu, Amirali Shayan Arani, A. Ege Engin, Chung-Kuan Cheng Predicting the worst-case voltage violation in a 3D power network. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF worst case violation prediction, integer linear programming, leakage, clock gating, power networks
36Ashoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Optimal sleep transistor synthesis under timing and area constraints. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF row-based, clustering, leakage power, power-gating, standard cell, sleep transistor
36Fayez Mohamood, Michael B. Healy, Sung Kyu Lim, Hsien-Hsin S. Lee Noise-Direct: A Technique for Power Supply Noise Aware Floorplanning Using Microarchitecture Profiling. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wire-length driven floorplan, noise-direct, power supply noise aware floorplanning, microarchitecture profiling, aggressive power saving techniques, power delivery network, power consumption reduction, self weighting, correlation weighting, force-directed floorplanning algorithm, power pin affinity, current consumption, di/dt control, supply-noise margin violations, clock-gating, microprocessor designers, power constraints, inductive noise, decoupling capacitances
36Dharmesh Parikh, Kevin Skadron, Yan Zhang 0028, Mircea R. Stan Power-Aware Branch Prediction: Characterization and Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF target prediction, highly-biased branches, pipeline gating, speculation control, Low-power design, power, branch prediction, processor architecture, energy-aware systems, banking
36Wael El-Essawy, David H. Albonesi, Balaram Sinharoy A microarchitectural-level step-power analysis tool. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Ldi/dt, step-power, microprocessors, clock-gating, architectural simulation, inductive noise
34Jinson Koppanalil, Prakash Ramrakhyani, Sameer Desai, Anu Vaidyanathan, Eric Rotenberg A case for dynamic pipeline scaling. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF configurable pipeline, fetch gating, power and energy management, shallow and deep pipelines, variable-depth pipeline, dynamic voltage scaling, clock gating
Displaying result #1 - #100 of 1650 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license