The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for RTL with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1989 (15) 1990-1992 (21) 1993-1994 (18) 1995 (20) 1996 (26) 1997 (28) 1998 (38) 1999 (47) 2000 (63) 2001 (57) 2002 (61) 2003 (69) 2004 (93) 2005 (94) 2006 (108) 2007 (135) 2008 (99) 2009 (65) 2010 (30) 2011 (24) 2012 (36) 2013 (28) 2014 (30) 2015 (38) 2016 (29) 2017 (26) 2018 (34) 2019 (40) 2020 (40) 2021 (34) 2022 (38) 2023 (67) 2024 (14)
Publication types (Num. hits)
article(308) book(1) data(2) incollection(3) inproceedings(1246) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1091 occurrences of 565 keywords

Results
Found 1565 publication records. Showing 1565 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
103Stefan Andrei, Albert M. K. Cheng Verifying Linear Real-Time Logic Specifications. Search on Bibsonomy RTSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
94Lin Zhong 0001, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha RTL-Aware Cycle-Accurate Functional Power Estimation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
88Marcelino B. Santos, Fernando M. Gonçalves, Isabel C. Teixeira, João Paulo Teixeira 0001 RTL Design Validation, DFT and Test Pattern Generation for High Defects Coverage. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fault modeling, DFT, TPG, RTL
86Steve Haynal, Timothy Kam, Michael Kishinevsky, Emily Shriver, Xinning Wang A System Verilog Rewriting System for RTL Abstraction with Pentium Case Study. Search on Bibsonomy MEMOCODE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
86Alfred Kölbl, Jerry R. Burch, Carl Pixley Memory Modeling in ESL-RTL Equivalence Checking. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
86Indradeep Ghosh, Masahiro Fujita Automatic test pattern generation for functional RTL circuits using assignment decision diagrams. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
80Zdenek Kotásek, F. Zboril RT level testability analysis to reduce test application time. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF register transfer level testability analysis, RTL element classification, RTL circuit transformation, labelled directed graph, PROLOG environment, implementation principles, logic testing, test application time reduction
79Lin Zhong 0001, Srivaths Ravi 0001, Anand Raghunathan, Niraj K. Jha Power estimation for cycle-accurate functional descriptions of hardware. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
79Pascal Urard, Asma Maalej, Roberto Guizzetti, Nitin Chawla Leveraging sequential equivalence checking to enable system-level to RTL flows. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF formal verification, high-level synthesis, equivalence checking, system-level models, RTL models
79Stefan Andrei, Albert Mo Kim Cheng Faster Verification of RTL-Specified Systems via Decomposition and Constraint Extension. Search on Bibsonomy RTSS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
71Indradeep Ghosh, Masahiro Fujita Automatic test pattern generation for functional register-transferlevel circuits using assignment decision diagrams. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
71Alessandro Bogliolo, Luca Benini, Giovanni De Micheli Regression-based RTL power modeling. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF RTL power modeling, adaptive characterization, functional macros, regression models, RTL design
71Kelvin Ng Challenges in using system-level models for RTL verification. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulation, equivalence checking, system-level model, RTL models
71Aloysius K. Mok, Duu-Chung Tsou, Ruud C. M. de Rooij The MSP.RTL real-time scheduler synthesis tool. Search on Bibsonomy RTSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF MSP RTL real time scheduler synthesis tool, scheduler synthesis algorithm, real time scheduling problem, temporal constraint satisfaction problem, temporal constraint graph, input timing specification, incremental positive cycle detection algorithm, real time scheduling theory, Boeing 777 Integrated Airplane Information Management System, AIMS, constraint satisfaction, processor scheduling, timing constraints, resource constraints, application domains, search strategies, cyclic schedules, feasible schedule, timing semantics, real time logic
71Loganathan Lingappan, Srivaths Ravi 0001, Niraj K. Jha Satisfiability-based test generation for nonseparable RTL controller-datapath circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
71Liang Zhang 0012, Indradeep Ghosh, Michael S. Hsiao A Framework for Automatic Design Validation of RTL Circuits Using ATPG and Observability-Enhanced Tag Coverage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
71Shuqing Zhao, Daniel D. Gajski Structural operational semantics for supporting multi-cycle operations in RTL HDLs. Search on Bibsonomy MEMOCODE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
71Farn Wang, Aloysius K. Mok RTL and Refutation by Positive Cycles. Search on Bibsonomy FME The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
64Luc Séméria, Renu Mehra, Barry M. Pangrle, Arjuna Ekanayake, Andrew Seawright, Daniel Ng RTL c-based methodology for designing and verifying a multi-threaded processor. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF formal equivalence, design, verification, RTL, checking, C/C++
64Toshinori Hosokawa, Kenichi Kawaguchi, Mitsuyasu Ohta, Michiaki Muraoka A Design for testability Method Using RTL Partitioning. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF line-up structure, internally balanced structure, acyclic structure, partitioning, ATPG, DFT, RTL, isolation, balanced structure
63Prasenjit Basu, Sayantan Das 0001, Ansuman Banerjee, Pallab Dasgupta, P. P. Chakrabarti 0001, Chunduri Rama Mohan, Limor Fix, Roy Armoni Design-Intent Coverage - A New Paradigm for Formal Property Verification. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
63Simone Medardoni, Davide Bertozzi, Enrico Macii Power-optimal RTL arithmetic unit soft-macro selection strategy for leakage-sensitive technologies. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RTL synthesis, leakage-aware, power management, selection strategy
63Shu-Hsuan Chou, Chi-Neng Wen, Yan-Ling Liu, Tien-Fu Chen VeriC: A semi-hardware description language to bridge the gap between ESL design and RTL models. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
63Anmol Mathur, Qi Wang Power Reduction Techniques and Flows at RTL and System Level. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
63Dongwan Shin, Andreas Gerstlauer, Rainer Dömer, Daniel Gajski An Interactive Design Environment for C-Based High-Level Synthesis of RTL Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
63Nicola Bombieri, Franco Fummi, Valerio Guarnieri Accelerating RTL Fault Simulation through RTL-to-TLM Abstraction. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF RTL fault simulation, fault simulation acceleration, RTL-to-TLM abstraction
57Li Shen 0002 VFSim: Concurrent Fault Simulation at Register Transfer Level. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF concurrent fault simulation, fault model, RTL, Verilog, high-level testing, circuit modeling
56Farnam Jahanian, Aloysius K. Mok Modechart: A Specification Language for Real-Time Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Modechart, absolute timing, real-time clock, RTL formulas, RTL assertions, graphical implementation, SARTOR, real-time systems, real-time systems, semantics, specification languages, specification language, rapid prototyping, timing constraints, abstraction levels, logic programming languages, hierarchical organization, real-time logic
56Xiushan Feng, Alan J. Hu Early cutpoint insertion for high-level software vs. RTL formal combinational equivalence verification. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cutpoints, formal equivalence checking, software, RTL
56Loganathan Lingappan, Niraj K. Jha Unsatisfiability Based Efficient Design for Testability Solution for Register-Transfer Level Circuits. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
56Stephen Paynter Real-Time Logic Revisited. Search on Bibsonomy FME The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
56Indradeep Ghosh, Srivaths Ravi 0001 On automatic generation of RTL validation test benches using circuit testing techniques. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF OCCOM, RTL ATPG, RTL testing, path coverage, small validation, toggle coverage, test, testing, generation, ATPG, fault coverage, code coverage, test sets, design validation, coverage metrics, universal test sets, testbench, branch coverage
55Amin Asghari, Seied Ahmad Motamedi, Sepehr Attarchi Effective RTL Method to Develop On-Line Self-Test Routine for the Processors Using the Wavelet Transform. Search on Bibsonomy ACIS-ICIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF On-line low-cost testing, Spectral test pattern generating, Software-based self-testing (SBST), Register transfer level (RTL), Processor testing
55Elif Alpaslan, Yu Huang 0005, Xijiang Lin, Wu-Tung Cheng, Jennifer Dworak Reducing Scan Shift Power at RTL. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Test Power Reduction, Power-Sensitive Scan Cell, RTL DFT, Timing Closure, Scan Based Test
55Yasuhiro Ito, Yutaka Sugawara, Mary Inaba, Kei Hiraki CVC: The C to RTL compiler for callback-based verification model. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
55Nicola Bombieri, Franco Fummi, Graziano Pravadelli On the evaluation of transactor-based verification for reusing TLM assertions and testbenches at RTL. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
55Shuqing Zhao, Daniel D. Gajski Defining an Enhanced RTL Semantics. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
55José M. Fernandes, Marcelino B. Santos, Arlindo L. Oliveira, João Paulo Teixeira 0001 A Probabilistic Method for the Computation of Testability of RTL Constructs. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
55Qi Wang, Sumit Roy 0003 RTL Power Optimization with Gate-Level Accuracy. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
55Loganathan Lingappan, Srivaths Ravi 0001, Niraj K. Jha Test Generation for Non-separable RTL Controller-datapath Circuits using a Satisfiability based Approach. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
55Shuqing Zhao, Daniel Gajski Modeling a new RTL semantics in C++. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
55Peer Johannsen BooStER: Speeding Up RTL Property Checking of Digital Designs by Word-Level Abstarction. Search on Bibsonomy CAV The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
55Vamsi Boppana, Indradeep Ghosh, Rajarshi Mukherjee, Jawahar Jain, Masahiro Fujita Hierarchical Error Diagnosis Targeting RTL Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Verification, Testing, Debugging, Diagnosis, Fault modeling, Error modeling
48Wei Zhao, Christos A. Papachristou Synthesis of reusable DSP cores based on multiple behaviors. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF RTL components, RTL structure, design process complexity, design time, multiple behaviors, reusable DSP cores synthesis, digital signal processing chips
48George Sobral Silveira, Alisson Vasconcelos de Brito, Elmar U. K. Melcher Functional verification of power gate design in SystemC RTL. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulation, SystemC, RTL, functional verification, power gate
48Hyunuk Jung, Hoeseok Yang, Soonhoi Ha Optimized RTL Code Generation from Coarse-Grain Dataflow Specification for Fast HW/SW Cosynthesis. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF VHDL, system level design, RTL, dataflow graph (DFG), HW/SW codesign
48Jens Horstmannshoff, Thorsten Grötker, Heinrich Meyr Mapping multirate dataflow to complex RT level hardware models. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multirate dataflow mapping, complex RT level hardware models, digital signal processing systems, algorithm development phase, data flow specification, RTL target architecture, HDL code generation, cycle based timing model, ASIC design complexity, multirate dataflow graphs, signal processing, hardware architecture
48Christian Dax, Felix Klaedtke, Martin Lange On Regular Temporal Logics with Past, . Search on Bibsonomy ICALP (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
48Anand Raghunathan, Sujit Dey, Niraj K. Jha High-level macro-modeling and estimation techniques for switching activity and power consumption. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
48Pradip A. Thaker, Vishwani D. Agrawal, Mona E. Zaghloul A test evaluation technique for VLSI circuits using register-transfer level fault modeling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
48Ganesh Lakshminarayana, Niraj K. Jha High-level synthesis of power-optimized and area-optimized circuits from hierarchical data-flow intensive behaviors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
48Ganesh Lakshminarayana, Niraj K. Jha Synthesis of Power-Optimized and Area-Optimized Circuits from Hierarchical Behavioral Descriptions. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF transceiver, spread spectrum communication, RF CMOS, digital radio, ISM frequency band
47Nicola Bombieri, Franco Fummi, Graziano Pravadelli Abstraction of RTL IPs into embedded software. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF RTL IP reuse, embedded software generation
47Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha Design for hierarchical testability of RTL circuits obtained by behavioral synthesis. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF design for hierarchical testability, gate-level sequential test generation, controller data path circuits, large data path bit-widths, minimal test hardware, system-level test set, logic testing, high level synthesis, high level synthesis, integrated circuit testing, design for testability, design for testability, automatic testing, logic CAD, integrated circuit design, behavioral synthesis, logic gates, register-transfer level design, RTL circuits
47Daniel Schwartz-Narbonne, Carven Chan, Yogesh S. Mahajan, Sharad Malik Supporting RTL flow compatibility in a microarchitecture-level design framework. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF microarchitecture level, transactions, formal models, hierarchical design, hardware resources
47Nicola Bombieri, Franco Fummi, Graziano Pravadelli, João Marques-Silva 0001 Towards Equivalence Checking Between TLM and RTL Models. Search on Bibsonomy MEMOCODE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Nitin Yogi, Vishwani D. Agrawal Spectral RTL Test Generation for Microprocessors. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Anmol Mathur, Venkat Krishnaswamy Design for Verification in System-level Models and RTL. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Shujun Deng, Jinian Bian, Weimin Wu, Xiaoqing Yang, Yanni Zhao EHSAT: An Efficient RTL Satisfiability Solver Using an Extended DPLL Procedure. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Nicola Bombieri, Franco Fummi, Graziano Pravadelli A methodology for abstracting RTL designs into TL descriptions. Search on Bibsonomy MEMOCODE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Liang Zhang 0012, Indradeep Ghosh, Michael S. Hsiao Efficient Sequential ATPG for Functional RTL Circuits. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
47Srivaths Ravi 0001, Anand Raghunathan, Srimat T. Chakradhar Efficient RTL Power Estimation for Large Designs. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
47Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001, Salvador Manich, Rosa Rodríguez-Montañés, Joan Figueras RTL Level Preparation of High-Quality/Low-Energy/Low-Power BIST. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
47Nachiketh R. Potlapally, Michael S. Hsiao, Anand Raghunathan, Ganesh Lakshminarayana, Srimat T. Chakradhar Accurate Power Macro-modeling Techniques for Complex RTL Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
47Alessandro Bogliolo, Enrico Macii, Virgil Mihailovici, Massimo Poncino Power Models for Semi-autonomous RTL Macros. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
47Samir Boubezari, Eduard Cerny, Bozena Kaminska, Benoit Nadeau-Dostie Testability analysis and test-point insertion in RTL VHDL specifications for scan-based BIST. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
47Pradip A. Thaker, Mona E. Zaghloul, Minesh B. Amin Study of Correlation of Testability Aspects of RTL Description and Resulting Structural Implementations. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
46Phan Cong Vinh, Jonathan P. Bowen Semantics of RTL and Validation of Synthesized RTL Designs Using Formal Verification in Reconfigurable Computing Systems. Search on Bibsonomy ECBS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Shogo Semba, Hiroshi Saito, Masato Tatsuoka, Katsuya Fujimura Optimization Methods during RTL Conversion from Synchronous RTL Models to Asynchronous RTL Models. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
41Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001, Salvador Manich, Luz Balado, Joan Figueras On High-Quality, Low Energy Built-In Self Test Preparation at RT-Level. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low-power, BIST, RTL, test quality, defects-based test
40Marcelino B. Santos, Fernando M. Gonçalves, Isabel C. Teixeira, João Paulo Teixeira 0001 RTL-Based Functional Test Generation for High Defects Coverage in Digital Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Defect-Oriented Test (DOT), low-energy test, test generation, RTL
40Chunduri Rama Mohan, Srobona Mitra, Partha Pal Chaudhuri On Incorporation of BIST for the Synthesis of Easily and Fully Testable Controllers. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fully testable controllers, testing scheme, Cadence, target library, built-in self test, cellular automata, VHDL, ATPGs, BIST, testability, FSMs, partial scan, VERILOG, area overhead, RTL designs, SYNERGY, full scan, stuck-at fault model
40Anand Raghunathan, Sujit Dey, Niraj K. Jha Register-transfer level estimation techniques for switching activity and power consumption. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF gate-level implementation, register-transfer level estimation, logic design, power consumption, switching activity, glitching, RTL designs
40Guillermo Maturana, James L. Ball, Jeffery Gee, Amaresh Iyer, J. Michael O'Connor Incas: a cycle accurate model of UltraSPARC. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cycle accurate model, UltraSPARC, Incas, message-passing mechanism, simulating concurrent modules, performance evaluation, C++, virtual machines, logic testing, microprocessor chips, performance estimates, diagnostics, tuning, RTL simulations, processor verification
40Sumit Ahuja, Deepak Mathaikutty, Gaurav Singh 0006, Joe Stetzer, Sandeep K. Shukla, Ajit Dingankar Power estimation methodology for a high-level synthesis framework. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
40Hideo Fujiwara, Hiroyuki Iwata, Tomokazu Yoneda, Chia Yee Ooi A Nonscan Design-for-Testability Method for Register-Transfer-Level Circuits to Guarantee Linear-Depth Time Expansion Models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Wolfgang Ecker, Volkan Esen, Michael Hull Execution semantics and formalisms for multi-abstraction TLM assertions. Search on Bibsonomy MEMOCODE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Indradeep Ghosh High Level Test Generation for Custom Hardware: An Industrial Perspective. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Abhijit Ghosh, Srinivas Devadas, A. Richard Newton Sequential Test Generation at the Register-Transfer and Logic Levels. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
39Stuart Swan SystemC transaction level models and RTL verification. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF RTL verification, hardware/software co-verification, systemC, hardware/software co-design, transaction level model, TLM
39Toshinori Hosokawa, Hiroshi Date, Masahide Miyazaki, Michiaki Muraoka, Hideo Fujiwara A Method of Test Plan Grouping to Shorten Test Length for RTL Data Paths under a Test Controller Area Constraint. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF test plan grouping, test controllers, partly compacted test plan tables, RTL data paths, test length
39Michael Eiermann, Walter Stechele Novel modeling techniques for RTL power estimation. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF RTL macromodels, low power, power estimation, power modeling
39Haifeng Zhou, Zhenghui Lin, Wei Cao Research on VHDL RTL Synthesis System. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VHDL RTL synthesis, ambiguous grammar, language level optimization, inference, formal semantics, parser
39Zhigang Yin, Yinghua Min, Xiaowei Li 0001 An Approach to RTL Fault Extraction and Test Generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF ATPG (Automatic Test Pattern Generation), RTL (Register Transfer Level), Fault
39Toshimitsu Masuzawa, Minoru Izutsu, Hiroki Wada, Hideo Fujiwara Single-control testability of RTL data paths for BIST. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF single-control testability, RTL data paths, BIST method, response analyzers, DFT method, high fault coverage, low hardware overhead, VLSI, logic testing, built-in self test, integrated circuit testing, design for testability, automatic test pattern generation, ATPG, test pattern generators, delay faults, VLSI circuits, at-speed testing, transition faults, digital integrated circuits, single stuck-at faults, hierarchical test
39Yiorgos Makris, Alex Orailoglu RTL Test Justification and Propagation Analysis for Modular Designs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF RTL testability analysis, test justification, test propagation, DFT, modular design
39K. J. Singh, P. A. Subrahmanyam Extracting RTL models from transistor netlists. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Switch-level simulation, Formal verification, Extraction, RTL model
39Himanshu Jain, Daniel Kroening, Natasha Sharygina, Edmund M. Clarke Word-Level Predicate-Abstraction and Refinement Techniques for Verifying RTL Verilog. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Nicola Bombieri, Nicola Deganello, Franco Fummi Integrating RTL IPs into TLM Designs Through Automatic Transactor Generation. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Tatsuya Koyagi, Masahiro Fukui, Resve A. Saleh Delay macromodeling and estimation for RTL. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Robert Beers Pre-RTL formal verification: an intel experience. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF TLC, explicit state enumeration, microarchitecture verification, formal verification, protocol verification, TLA+
39Kedarnath J. Balakrishnan, Lei Fang RTL Test Point Insertion to Reduce Delay Test Volume. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Loganathan Lingappan, Vijay Gangaram, Niraj K. Jha Fast Enhancement of Validation Test Sets to Improve Stuck-at Fault Coverage for RTL circuits. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Vinod Viswanath, Jacob A. Abraham, Warren A. Hunt Jr. Automatic insertion of low power annotations in RTL for pipelined microprocessors. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Panagiotis Manolios, Sudarshan K. Srinivasan, Daron Vroon 0001 Automatic memory reductions for RTL model verification. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Pietro Babighian, Luca Benini, Enrico Macii A scalable algorithm for RTL insertion of gated clocks based on ODCs computation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Ganapathy Parthasarathy, Madhu K. Iyer, Kwang-Ting Cheng, Forrest Brewer Structural search for RTL with predicate learning. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF learning, satisfiability, interval arithmetic, predicate abstraction
39Yiorgos Makris, Ismet Bayraktaroglu, Alex Orailoglu Enhancing reliability of RTL controller-datapath circuits via Invariant-based concurrent test. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39Jean-Pierre David, Etienne Bergeron A Step towards Intelligent Translation from High-Level Design to RTL. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39Rohit Jindal, Kshitiz Jain Verification of Transaction-Level SystemC models using RTL Testbenches. Search on Bibsonomy MEMOCODE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 1565 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license