The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase field-programmable-gate-array (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1989-1994 (15) 1995 (16) 1996-1997 (19) 1998 (19) 1999 (31) 2000 (18) 2001 (28) 2002 (28) 2003 (42) 2004 (47) 2005 (85) 2006 (121) 2007 (110) 2008 (102) 2009 (51) 2010 (21) 2011-2012 (25) 2013 (20) 2014-2015 (27) 2016-2017 (28) 2018-2019 (31) 2020 (18) 2021 (19) 2022-2023 (23) 2024 (8)
Publication types (Num. hits)
article(423) incollection(5) inproceedings(520) phdthesis(4)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 649 occurrences of 404 keywords

Results
Found 952 publication records. Showing 952 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
109Zhuo Gao, Ji Luo 0003, Hu Huang 0001, Wei Zhang, Joseph B. Bernstein Reliable Laser Programmable Gate Array Technology. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Laser Programmable Gate Array (LPGA), Laser Field-Programmable Gate Array (LFPGA), MakeLink technology, laser-induced/laser programmable anti-fuse, digital ASIC design, low electrical resistance anti-fuse, Field-Programmable Gate Array (FPGA)
67Hardy J. Pottinger, Chien-Yuh Lin Using a reconfigurable field programmable gate array to demonstrate boundary scan with built in self test. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF student experiments, educational aids, reconfigurable FPGA, XC4000 Logic Cell Array Family, IEEE Standard 1149.1, XC4003PC84-6, field programmable gate arrays, field programmable gate array, logic testing, built-in self test, built-in self-test, computer science education, integrated circuit testing, design for testability, logic design, BIST, teaching, fault simulation, integrated circuit design, boundary scan, demonstration, boundary scan testing, Xilinx, electronic engineering education
65Yen-Tai Lai, Ping-Tsung Wang Hierarchical interconnection structures for field programmable gate arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
57Tomoo Inoue, Hideo Fujiwara, Hiroyuki Michinishi, Tokumi Yokohira, Takuji Okamoto Universal test complexity of field-programmable gate arrays. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF universal test complexity, arbitrary logic circuits, sequential loading, random access loading, programming schemes, block-sliced loading, configuration memory cells, field programmable gate arrays, field-programmable gate array, computational complexity, fault diagnosis, logic testing, design for testability, fault model, logic CAD, table lookup, look-up tables, automatic test software, C-testable
56Viviane Lucy Santos de Souza, Victor Wanderley Costa de Medeiros, Manoel Eusébio de Lima Architecture for dense matrix multiplication on a high-performance reconfigurable system. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF BRAMs (RAM blocks), MAC (multiplier unit), RASC (reconfigurable application-specific computing), performance, FPGA (field programmable gate array), parallelism, matrix multiplication, data reuse
56Steven J. E. Wilton, Chun Hok Ho, Philip Heng Wai Leong, Wayne Luk, Bradley R. Quinton A synthesizable datapath-oriented embedded FPGA fabric. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded block, field programmable gate array, system-on-chip, synthesis, integrated circuit, datapath
56Charles E. Stroud, Srinivasa Konala, Ping Chen, Miron Abramovici Built-in self-test of logic blocks in FPGAs (Finally, a free lunch: BIST without overhead!). Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF BIST architecture, programmable logic blocks, field programmable gate arrays, VLSI, logic testing, built-in self test, built-in self-test, integrated circuit testing, automatic testing, FPGA testing, field programmable gate array testing
55Nalini K. Ratha, Kalle Karu, Shaoyun Chen, Anil K. Jain 0001 A Real-Time Matching System for Large Fingerprint Databases. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF minutiae points, field programmable gate array, indexing, image registration, Image database, fingerprint matching
54Tim Good, Mohammed Benaissa AES on FPGA from the Fastest to the Smallest. Search on Bibsonomy CHES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low area, Application Specific Instruction Processor (ASIP), Field Programmable Gate Array (FPGA), pipelined, Advanced Encryption Standard (AES), finite field, high throughput, design exploration
52Behzad Mahdavikhah, Ramin Mafi, Shahin Sirouspour, Nicola Nicolici Haptic rendering of deformable objects using a multiple FPGA parallel computing architecture. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF conjugate gradient (CG), finite-element modeling (FEM), field-programmable gate array (FPGA)
52Ali El Kateeb, Lubna Al Azzawi Low Cost HIV Testing System for Tele-Health Applications. Search on Bibsonomy AINA Workshops (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF HIV kits, HIV screening, Field programmable gate array (FPGA), System-on-chip (SOC)
52Slawomir Cichon, Marek Gorgon, Miroslaw Pac Handel-C Design Enhancement for FPGA-Based DV Decoder. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF video decompression, field programmable gate array, Parallel algorithm, high level languages
52Hima B. Damecharla, Kamal K. Varma, Joan Carletta, Amy E. Bell FPGA implementation of a parallel EBCOT tier-1 encoder that preserves coding efficiency. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF EBCOT, JPEG 2000 image compression, arithmetic encoder, field programmable gate array
52Mihai Sima, Sorin Cotofana, Jos T. J. van Eijndhoven, Stamatis Vassiliadis, Kees A. Vissers IEEE-Compliant IDCT on FPGA-Augmented TriMedia. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF inverse discrete cosine transform, field-programmable gate array, configurable computing, VLIW processor
52Phillip A. Laplante, William Gilreath One Instruction Set Computers for Image Processing. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF OISC, one-instruction computing, FPGA, field programmable gate array, image processing, reconfigurable computing
52Paul Berube, Ashley Zinyk, José Nelson Amaral, Mike H. MacGregor The Bank Nth Chance Replacement Policy for FPGA-Based CAMs. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multizone cache, field programmable gate array, cache memories, memory systems, content addressable memories, replacement policy, digital design, Internet routing
52Neil W. Bergmann, Yuk Ying Chung Video Compression on FPGA-Based Custom Computers. Search on Bibsonomy ICIP (1) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FPGA-based custom computers, 2D DCT algorithms, Scalable Parallel Architecture for Concurrency Experiments, field programmable gate arrays, field programmable gate array, video compression, experimental result, SPACE, workstation, distributed arithmetic, super-computer, processing speed
52T. Raju Damarla, Moon J. Chung, Wei Su, Gerald T. Michael Faulty chip identification in a multi chip module system. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF faulty chip identification, multi chip module, linear space compressor, field programmable gate array, fault diagnosis, data compression, data compression, built-in self test, built-in self test, integrated circuit testing, fault detection, comparator, multichip modules
52Shriram Kulkarni, Pinaki Mazumder, George I. Haddad A high-speed 32-bit parallel correlator for spread spectrum communication. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF pseudonoise codes, radio equipment, high speed pipelined digital parallel correlator, lattice field programmable gate array, 87 MHz, 11.5 ns, field programmable gate arrays, parallel processing, data stream, correlators, CDMA, pipeline processing, CMOS integrated circuit, CMOS digital integrated circuits, transceiver, spread spectrum communication, spread spectrum communication, digital radio, 32 bit, PN sequence
52H. A. Xie, Kevin E. Forward, K. M. Adams, Suthikshn Kumar An SBus Multi-Tracer and its applications. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SBus Multi Tracer, SBus monitoring board, logic analyzer, bus analyzer, trace length, board memory, multi occurrences, trigger patterns, multiple partitions, tracing memory, systematic timing information, pattern occurrences, triggering patterns, SUN SPARC station, field programmable gate arrays, Field Programmable Gate Array, FPGA, logic testing, automatic test equipment, system buses, timing diagrams, computerised monitoring
52Akihiro Tsutsui, Toshiaki Miyazaki, Kazuhisa Yamada, Naohisa Ohta Special purpose FPGA for high-speed digital telecommunication systems. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF special purpose FPGA, high-speed digital telecommunication systems, high-speed transport data processing, inter-chip connection mechanism, dedicated CAD system, field programmable gate arrays, field programmable gate array, programmable logic arrays, digital communication
52Rajeev Murgai, Masahiro Fujita, Fumiyasu Hirose Logic synthesis for a single large look-up table. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF single large look-up table, LUT-based field-programmable gate array architectures, simulation time minimisation, compile-code, software simulation, field programmable gate arrays, Boolean function, logic design, logic synthesis, hardware accelerator, programmable logic arrays, table lookup, logic simulation, FPGA architectures, logic functions, on-chip memory, memory constraint
52Jean-Francois Guillaud, Max Roger Pokam, Gérard Michel An ATM-Based Multimedia Integrated Manufacturing System . Search on Bibsonomy HPDC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ATM-based multimedia integrated manufacturing system, high-speed communication technologies, intelligent network interface board, field programmable gate array component, high speed communication links, field programmable gate arrays, protocols, protocols, asynchronous transfer mode, multimedia systems, multimedia applications, computer integrated manufacturing, real time distributed systems
52Max Roger Pokam, Jean-Francois Guillaud, Gérard Michel Integrated multimedia in manufacturing networks using ATM. Search on Bibsonomy LCN The full citation details ... 1995 DBLP  DOI  BibTeX  RDF manufacturing networks, continuous media data flows, process control plants, multimedia application service element, intelligent communication board, high level communication functions, multimedia load, field programmable gate array, multimedia, asynchronous transfer mode, ATM, local area networks, multimedia computing, manufacturing processes, real-time distributed systems, factory automation, TCP/IP protocol
51Didier Keymeulen, Ricardo Salem Zebulum, Rajeshuni Ramesham, Adrian Stoica, Srinivas Katkoori, Sharon Graves, Frank Novak, Charles Antill Self-Adaptive System Based on Field Programmable Gate Array for Extreme Temperature Electronics. Search on Bibsonomy AHS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
50Minoru Watanabe, Fuminori Kobayashi An Optically Differential Reconfigurable Gate Array VLSI Chip with a Dynamic Reconfiguration Circuit. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Kouhi Shinohara, Minoru Watanabe Defect tolerance of holographic configurations in ORGAs. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Jzau-Sheng Lin, Shao-Han Liu, Wu-Chih Hsieh, Yu-Yi Liao, HongChao Wang, QingHua Lan Facial Expression Recognition Based on Field Programmable Gate Array. Search on Bibsonomy IAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
43Peter J. Green, Desmond P. Taylor Implementation of Four Real-Time Software Defined Receivers and a Space-Time Decoder using Xilinx Virtex 2 Pro Field Programmable Gate Array. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Peter J. Green, Desmond P. Taylor Implementation of a High Speed Four Transmitter Space-Time Encoder using Field Programmable Gate Array and Parallel Digital Signal Processors. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Tyler J. Moeller, David R. Martinez Field Programmable Gate Array Based Radar Front-End Digital Signal Processing. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
43Martine D. F. Schlag, Pak K. Chan, Jackson Kong Empirical evaluation of multilevel logic minimization tools for a lookup-table-based field-programmable gate array technology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
41W. Shi, K. Kumar, Fabrizio Lombardi On the Complexity of Switch Programming in Fault-Tolerant-Configurable Chips. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
40Yachyang Sun, Ting-Chi Wang, Chak-Kuen Wong, C. L. Liu 0001 Routing for symmetric FPGAs and FPICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
38Minoru Watanabe, Fuminori Kobayashi Optically Reconfigurable Gate Arrays vs. ASICs. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Erik R. Altman CPUs and GPUs: Who Owns the Future? Search on Bibsonomy IEEE Micro The full citation details ... 2011 DBLP  DOI  BibTeX  RDF performance, field-programmable gate array, GPU, productivity, application-specific integrated circuit, programming model, CPU
38Christos Kyrkou, Christos Ttofis, Theocharis Theocharides FPGA-Accelerated Object Detection Using Edge Information. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Field Programmable Gate Array, Object Detection
38Marc-André Daigneault, Jean-Pierre David Towards 5ps resolution TDC on a dynamically reconfigurable FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF time-to-digital converter, vernier method, field programmable gate array, dynamic reconfiguration
38Muhammad Ali Siddiqi, Nabeel Samad, Shahid Masud, Faheem Sheikh FPGA-based Implementation of Efficient Sample Rate Conversion for Software Defined Radios. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Sample Rate Conversion, CIC filter, Farrow Interpolator, Field Programmable Gate Array (FPGA), Software Radio
38Yuan Ruan, Weibing Yang, Mingyu Chen 0001, Xiaofang Zhao, Jianping Fan 0002 Robust TCP Reassembly with a Hardware-Based Solution for Backbone Traffic. Search on Bibsonomy NAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF TCP reassembly, Field-programmable gate array, backbone network
38Alessandro Cevrero, Panagiotis Athanasopoulos, Hadi Parandeh-Afshar, Ajay Kumar Verma, Seyed-Hosein Attarzadeh-Niaki, Chrysostomos Nicopoulos, Frank K. Gürkaynak, Philip Brisk, Yusuf Leblebici, Paolo Ienne Field Programmable Compressor Trees: Acceleration of Multi-Input Addition on FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Field Programmable Compressor Tree (FPCT), compressor tree, Field Programmable Gate Array (FPGA)
38Alessandro Cevrero, Panagiotis Athanasopoulos, Hadi Parandeh-Afshar, Philip Brisk, Yusuf Leblebici, Paolo Ienne, Maurizio Skerlj 3D configuration caching for 2D FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF field programmable gate array (fpga), reconfigurable computing, 3d integration, configuration caching
38Jason Helge Anderson Emerging application domains: research challenges and opportunities for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, field-programmable gate array, fpga, applications, high-performance computing, power, reconfigurable computing
38Wu Qiang, Chen He The Design of a Large Point Reconfigured FFT Based on FPGA. Search on Bibsonomy IITSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF two dimension process, trigonometric function interpolation, Field Programmable Gate Array (FPGA), reconfiguration, Fast Fourier Transform (FFT), miniaturization
38Steven J. E. Wilton, Chun Hok Ho, Bradley R. Quinton, Philip Heng Wai Leong, Wayne Luk A Synthesizable Datapath-Oriented Embedded FPGA Fabric for Silicon Debug Applications. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Field programmable gate array, system-on-chip, integrated circuit, silicon debug
38Peter R. Cappello Application-specific Processor Architecture: Then and Now. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF field-programmable gate array, FPGA, computer architecture, taxonomy, systolic array, processor array, application-specific processor, general-purpose processor
38Knut Wold, Chik How Tan Analysis and Enhancement of Random Number Generator in FPGA Based on Oscillator Rings. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Field Programmable Gate Array, Ring Oscillator, True Random Number Generator
38Tian Lan, Jinlin Zhang FPGA Implementation of an Adaptive Noise Canceller. Search on Bibsonomy ISIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF least mean square (LMS) filtering, field programmable gate array (FPGA), hardware implementation
38Somnath Paul, Swarup Bhunia Reconfigurable computing using content addressable memory for improved performance and resource usage. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF field programmable gate array (FPGA), content addressable memory, resource utilization
38Ali Ahmadinia Optimal Free-Space Management and Routing-Conscious Dynamic Placement for Reconfigurable Devices. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF free-space manager, routing-conscious placement, line-sweep technique, optimal runtime, field-programmable gate array (FPGA), lower bounds, Reconfigurable hardware, geometric optimization, module placement
38Thomas Eisenbarth 0001, Tim Güneysu, Christof Paar, Ahmad-Reza Sadeghi, Dries Schellekens, Marko Wolf Reconfigurable trusted computing in hardware. Search on Bibsonomy STC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF field programmable gate array (FPGA), trusted computing, trusted platform module (TPM)
38Scott C. Smith Design of a logic element for implementing an asynchronous FPGA. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF NULL convention logic (NCL), asynchronous logic design, field programmable gate array (FPGA), reconfigurable logic, delay-insensitive circuits
38Ying Huang, Chunyuan Zhang, Dong Liu 0022, Yi Li, Sheng-xin Weng The Design on SEU-Tolerant Information Processing System of the On-Board-Computer. Search on Bibsonomy APPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Dual Fault-Tolerant, Triple Module Redundancy, Cost-Off-The-Shelf, Field Programmable Gate Array, Single-Event-Upsets
38Chi-Feng Li, Ping-Hung Yuh, Chia-Lin Yang, Yao-Wen Chang Post-placement leakage optimization for partially dynamically reconfigurable FPGAs. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF post-placement optimization, scheduling, field-programmable gate array, leakage
38Wilfried Kubinger, Franz Rinnerthaler, Christoph Sulzbachner, Josef Langer, Martin Humenberger An Embedded Vision Sensor for Robot Soccer. Search on Bibsonomy EUROCAST The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Embedded Vision System, Field-programmable Gate Array (FPGA), Digital Signal Processor (DSP), Robot Soccer
38Stefan Lemsitzer, Johannes Wolkerstorfer, Norbert Felber, Matthias Braendli Multi-gigabit GCM-AES Architecture Optimized for FPGAs. Search on Bibsonomy CHES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Galois/Counter Mode (GCM), hybrid multiplier, Field Programmable Gate Array (FPGA), Very Large Scale Integration (VLSI), Advanced Encryption Standard (AES), high throughput, digit-serial multiplier, bit-parallel multiplier
38John McAllister, Roger F. Woods, Richard L. Walke, Darren Gerard Reilly Multidimensional DSP Core Synthesis for FPGA. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF rapid implementation, field programmable gate array, heterogeneous system, system level design, dataflow graph, Architectural synthesis
38Wai Shing Lau, Kin-Hong Lee, Kwong-Sak Leung A hybridized genetic parallel programming based logic circuit synthesizer. Search on Bibsonomy GECCO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FlowMap, a hybridized genetic parallel programming logic circuit synthesizer, genetic parallel programming, field programmable gate array, technology mapping, LookUp table
38Andrés David García García, Luis Fernando González Pérez, Reynaldo Félix Acuña Power Consumption Management on FPGAs. Search on Bibsonomy CONIELECOMP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Genetic Algorithms, Field Programmable Gate Array, Power Consumption, Partial Reconfiguration, Circuit Design
38Choudhury A. Rahman, Wael M. Badawy A quarter pel full search block motion estimation architecture for H.264/AVC. Search on Bibsonomy ICME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF CIF frame sequence, quarter pel full search, block motion estimation architecture, H.264-AVC encoder, Xilinx Virtex2 FPGA, field programmable gate array, hardware description language, Verilog HDL
38Hamid Fadishei, Morteza Saheb Zamani, Masoud Sabaei A novel reconfigurable hardware architecture for IP address lookup. Search on Bibsonomy ANCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF field-programmable gate array (FPGA), application specific integrated circuit (ASIC), hashing, reconfigurable hardware, longest prefix matching, IP address lookup
38Mehdi Baradaran Tahoori Application-Specific Bridging Fault Testing of FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF field-programmable gate array, interconnect, satisfiability, bridging fault
38Philip Brisk, Adam Kaplan, Majid Sarrafzadeh Area-efficient instruction set synthesis for reconfigurable system-on-chip designs. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF field-programmable gate array (FPGA), compiler, resource sharing, integer linear programming (ILP)
38John W. Lockwood, Christopher E. Neely, Christopher K. Zuver, David Lim Automated tools to implement and test Internet systems in reconfigurable hardware. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF network intrusion detection and prevention, Internet, Field Programmable Gate Array (FPGA), networks, tools, firewall, reconfigurable hardware
38Wai-Kei Mak I/O placement for FPGAs with multiple I/O standards. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF I/O placement, I/O standards, field-programmable gate array, placement
38Tao Lin, Zhou Zhengou The Implementation of 100MHz Data Acquisition Based on FPGA. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Compression Sampling, flag, field programmable gate array (FPGA), memory, VHDL, Top-Down
38Byoungro So, Pedro C. Diniz, Mary W. Hall Using estimates from behavioral synthesis tools in compiler-directed design space exploration. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF synthesis techniques for reconfigurable computing, field-programmable-gate-array, high-level synthesis, rapid prototyping, design space exploration
38Mehdi Baradaran Tahoori Using satisfiability in application-dependent testing of FPGA interconnects. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF field-programmable gate array, interconnect
38Joan Carletta, Robert J. Veillette, Frederick W. Krach, Zhengwei Fang Determining appropriate precisions for signals in fixed-point IIR filters. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF finite word length effects, infinite impulse response filter, field programmable gate array, design methodology
38Hongbing Fan, Yu-Liang Wu, Yao-Wen Chang Comment on Generic Universal Switch Blocks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF universal switch block design, Field programmable gate array, FPGA routing
38Guang-Ming Wu, Jai-Ming Lin, Yao-Wen Chang Performance-driven placement for dynamically reconfigurable FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF field-programmable gate array, placement, dynamically reconfigurable, layout, Computer-aided design of VLSI
38Uwe Meyer-Bäse, Antonio García 0001, Fred J. Taylor Implementation of a Communications Channelizer using FPGAs and RNS Arithmetic. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF field-programmable logic (FPL), complex programmable logic devices (CPLD), zero-IF filter, field programmable gate array (FPGA), digital signal processing (DSP), residue number system (RNS), channelizer
38Wei-Je Huang, Subhasish Mitra, Edward J. McCluskey Fast Run-Time Fault Location in Dependable FPGA-Based Applications. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Run-time fault location, Field-Programmable Gate Array (FPGA), concurrent error detection, on-line testing
38Seok-Bum Ko, Tian Xia, Jien-Chung Lo Efficient Parity Prediction in FPGA. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Fault tolerance, Field Programmable Gate Array, Space Application
38Yao-Wen Chang, Kai Zhu 0001, D. F. Wong 0001 Timing-driven routing for symmetrical array-based FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF field-programmable gate array, synthesis, layout, computer-aided design of VLSI
38Douglas Chang, Malgorzata Marek-Sadowska Partitioning Sequential Circuits on Dynamically Reconfigurable FPGAs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Dynamically reconfigurable FPGAs, time-mulitplexed FPGA, Dharma, DPGA, field programmable gate array, partitioning, reconfigurable computing, sequential circuit, force directed scheduling
38Jack S. N. Jean, Karen A. Tomko, Vikram Yavagal, Jignesh Shah, Robert Cook Dynamic Reconfiguration to Support Concurrent Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF scheduling, field programmable gate array (FPGA), resource management, reconfiguration, Configurable computing
38Jack S. N. Jean, Xuejun Liang, Brian Drozd, Karen A. Tomko Accelerating an IR Automatic Target Recognition Application with FPGAs. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Field Programmable Gate Array (FPGA), Reconfigurable Computing, Automatic Target Recognition, Adaptive Computing
38Gerardo Orlando, Christof Paar A Super-Serial Galois Fields Multiplier for FPGAs and its Application to Public-Key Algorithms. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Galois Fields multiplier, field programmable gate array application, cryptography, elliptic curve cryptography
38Sergio D'Angelo, Giacomo R. Sechi, Cecilia Metra Transient and Permanent Fault Diagnosis for FPGA-Based TMR Systems. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF transient fault detection, Field Programmable Gate Array, TMR systems
38John C. Lach, William H. Mangione-Smith, Miodrag Potkonjak Algorithms for Efficient Runtime Fault Recovery on Diverse FPGA Architectures. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF fault-tolerance, Field programmable gate array (FPGA)
38John C. Lach, William H. Mangione-Smith, Miodrag Potkonjak Robust FPGA Intellectual Property Protection Through Multiple Small Watermarks. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF field programmable gate array (FPGA), watermarking, intellectual property protection
38Fran Hanchek, Shantanu Dutt Methodologies for Tolerating Cell and Interconnect Faults in FPGAs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF cell faults, wiring faults, Fault tolerance, Field Programmable Gate Array (FPGA), reconfiguration, yield improvement
38James R. Anderson 0001, Siddharth Sheth, Kaushik Roy 0001 A Coarse-Grained FPGA Architecture for High-Performance FIR Filtering. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF finite impluse response filtering, field programmable gate array, architecture, digital signal processing
38Dimitrios Stiliadis, Anujan Varma A Reconfigurable Hardware Approach to Network Simulation. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF ATM switch scheduling, hardware simulation, field-programmable gate array
38Dane Kottke, Paul D. Fiore Systolic Array for Acceleration of Template Based ATR. Search on Bibsonomy ICIP (1) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF radar clutter, template-based ATR, Hausdorff distance-based clutter/target discrimination, detection thresholds, pre-processing parameters, radar detection, binary raster image, performance, field programmable gate array, systolic array, automatic target recognition, detection probability, detection performance
38Fran Hanchek, Shantanu Dutt Node-Covering Based Defect and Fault Tolerance Methods for Increased Yield in FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF circuit reconfiguration, node covering, fault tolerance, field programmable gate array (FPGA), yield improvement
35Sheng Wang, Shiwei Feng 0001, Yuxuan Xiao, Chaoxu Hu, Shijie Pan Build-in compact and efficient temperature sensor array on field programmable gate array. Search on Bibsonomy Microelectron. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
35Kejie Huang, Rong Zhao, Wei He, Yong Lian 0001 High-Density and High-Reliability Nonvolatile Field-Programmable Gate Array With Stacked 1D2R RRAM Array. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
35Paul Beckett A Low-Power Reconfigurable Logic Array Based on Double-Gate Transistors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35David Marple An MPGA-Like FPGA. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
34Sayed Ali Seif Kashani, Hossein Karimiyan Alidash, Sandeep Miryala Schottky-barrier graphene nanoribbon field-effect transistors-based field-programmable gate array's configurable logic block and routing switch. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
33Daniel Mange, Eduardo Sanchez, André Stauffer, Gianluca Tempesti, Pierre Marchal, Christian Piguet Embryonics: a new methodology for designing field-programmable gate arrays with self-repair and self-replicating properties. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
32Gerald Tripp Regular expression matching with input compression: a hardware design for use within network intrusion detection systems. Search on Bibsonomy J. Comput. Virol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Minoru Watanabe, Fuminori Kobayashi Power consumption advantage of a dynamic optically reconfigurable gate array. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Minoru Watanabe, Fuminori Kobayashi A High-Density Optically Reconfigurable Gate Array Using Dynamic Method. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
30Panagiotis D. Michailidis, Konstantinos G. Margaritis Implementation of a programmable array processor architecture for approximate string matching algorithms on FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Jun Dong, Tongfei Wang, Yueming Deng, Runmin Wang Adaptive wavelet transform defogging scheme for real-time video restoration with field programmable gate array implementation. Search on Bibsonomy J. Electronic Imaging The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
29Yadongyang Zhu, Shuguang Zhao, Fudong Zhang, Wei Wei, Fa Zhao Edge-Intelligence-Based Seismic Event Detection Using a Hardware-Efficient Neural Network With Field-Programmable Gate Array. Search on Bibsonomy IEEE Internet Things J. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
29Marc Majoral, Javier Arribas, Carles Fernández-Prades Implementation of a High-Sensitivity Global Navigation Satellite System Receiver on a System-on-Chip Field-Programmable Gate Array Platform. Search on Bibsonomy Sensors The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 952 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license