The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for memories with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1962 (16) 1963-1966 (23) 1967-1968 (19) 1969-1971 (17) 1972-1974 (23) 1975 (20) 1976 (15) 1977 (22) 1978 (18) 1979 (19) 1980 (15) 1981-1982 (23) 1983 (17) 1984 (24) 1985 (22) 1986 (17) 1987 (20) 1988 (40) 1989 (37) 1990 (49) 1991 (56) 1992 (46) 1993 (49) 1994 (65) 1995 (60) 1996 (71) 1997 (80) 1998 (107) 1999 (104) 2000 (129) 2001 (121) 2002 (152) 2003 (204) 2004 (234) 2005 (248) 2006 (299) 2007 (308) 2008 (276) 2009 (215) 2010 (174) 2011 (135) 2012 (188) 2013 (190) 2014 (184) 2015 (182) 2016 (178) 2017 (188) 2018 (185) 2019 (183) 2020 (166) 2021 (147) 2022 (156) 2023 (177) 2024 (17)
Publication types (Num. hits)
article(2012) book(7) data(1) incollection(90) inproceedings(3508) phdthesis(111) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(219) IEEE Trans. Computers(161) DATE(117) IEEE Trans. Very Large Scale I...(85) DAC(73) IEEE Trans. Comput. Aided Des....(68) ITC(68) ISCAS(59) VTS(58) J. Electron. Test.(56) IJCNN(53) MTDT(53) ISIT(50) ISCA(49) ASP-DAC(46) DFT(46) More (+10 of total 1379)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3194 occurrences of 1627 keywords

Results
Found 5730 publication records. Showing 5730 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
79Naiqin Feng, Xizheng Cao, Sujuan Li, Lianhui Ao, Shuangxi Wang A New Method of Morphological Associative Memories. Search on Bibsonomy ICIC (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Auto-associative memories, Hetero- associative memories, Morphological associative memories
76Ad J. van de Goor, Issam B. S. Tlili A Systematic Method for Modifying March Tests for Bit-Oriented Memories into Tests for Word-Oriented Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Bit-oriented memories, word-oriented memories, fault models, march tests, data backgrounds
72Ad J. van de Goor, Issam B. S. Tlili March Tests for Word-Oriented Memories. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Bit-oriented memories, word-oriented memories, fault models, memory tests, march tests, data backgrounds
61Behrooz Parhami, Algirdas Avizienis Detection of Storage Errors in Mass Memories Using Low-Cost Arithmetic Error Codes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1978 DBLP  DOI  BibTeX  RDF unidirectional failures, Arithmetic error codes, low-cost arithmetic codes, magnetic-recording memories, mass memories, storage errors, two-dimensional burst errors, residue codes, shift-register memories, product codes, fault-tolerant memories
61Harold S. Stone Dynamic Memories with Fast Random and Sequential Access. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF Bubble memories, memories, dynamic memories, shift-register memories, perfect shuffle
60María Elena Acevedo-Mosqueda, Cornelio Yáñez-Márquez, Itzamá López-Yáñez A New Model of BAM: Alpha-Beta Bidirectional Associative Memories. Search on Bibsonomy ISCIS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Alpha-Beta associative memories, perfect recall, Bidirectional associative memories
60Said Hamdioui, Ad J. van de Goor Consequences of port restrictions on testing two-port memories. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Multi-port memories, single-port memories, memory fault models, weak faults, read-only and write-only ports, fault coverage, march tests, test length
59Thomas Olsson 0002, Hannu Soronen, Kaisa Väänänen-Vainio-Mattila User needs and design guidelines for mobile services for sharing digital life memories. Search on Bibsonomy Mobile HCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF digital life memories, personal content management, user-centered design, mobile services, design guidelines, user needs
55Zsolt J. Horváth, Péter Basa New Trends in Non-volatile Semiconductor Memories. Search on Bibsonomy Towards Intelligent Engineering and Information Technology The full citation details ... 2009 DBLP  DOI  BibTeX  RDF nanocrystal memories, SONOS, FeRAMs, flash memories, phase-change memories, MRAMs
54María Elena Acevedo-Mosqueda, Cornelio Yáñez-Márquez, Itzamá López-Yáñez Complexity of Alpha-Beta Bidirectional Associative Memories. Search on Bibsonomy MICAI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Alpha-Beta associative memories, perfect recall, complexity, Bidirectional associative memories
54Enrique Guzmán 0001, Oleksiy B. Pogrebnyak, Cornelio Yáñez, José A. Moreno Image Compression Algorithm Based on Morphological Associative Memories. Search on Bibsonomy CIARP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Morphological Hetroassociative Memories min, Image compression, Morphological Associative Memories
54Said Hamdioui, Ad J. van de Goor Testing Address Decoder Faults in Two-Port Memories: Fault Models, Tests, Consequences of Port Restrictions, and Test Strategy. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multi-port memories, single-port memories, address decoder faults, read-only ports, write-only ports, fault models, fault coverage, march tests
54Chao Sun 0001, Ken Takeuchi System-Level Considerations on Design of 3D NAND Flash Memories. Search on Bibsonomy 3D Flash Memories The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
54Alessia Marelli, Rino Micheloni BCH and LDPC Error Correction Codes for NAND Flash Memories. Search on Bibsonomy 3D Flash Memories The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
54Andrea Silvagni 3D VG-Type NAND Flash Memories. Search on Bibsonomy 3D Flash Memories The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
54Alessandro Grossi, Cristian Zambelli, Piero Olivo Reliability of 3D NAND Flash Memories. Search on Bibsonomy 3D Flash Memories The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
54Luca Crippa, Rino Micheloni Advanced Architectures for 3D NAND Flash Memories with Vertical Channel. Search on Bibsonomy 3D Flash Memories The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
54Rino Micheloni, Luca Crippa 3D Floating Gate NAND Flash Memories. Search on Bibsonomy 3D Flash Memories The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
54Luca Crippa, Rino Micheloni 3D Charge Trap NAND Flash Memories. Search on Bibsonomy 3D Flash Memories The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
54Herb Huang, Rino Micheloni 3D Multi-chip Integration and Packaging Technology for NAND Flash Memories. Search on Bibsonomy 3D Flash Memories The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
54Rino Micheloni, Luca Crippa 3D Stacked NAND Flash Memories. Search on Bibsonomy 3D Flash Memories The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
53Stuart E. Schechter, Gabriel H. Loh, Karin Strauss, Doug Burger Use ECP, not ECC, for hard failures in resistive memories. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hard failures, resistive memories, memory, error correction, phase change memory
53Betty Prince Nanotechnology and emerging memories. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FeRAM, ferroelectric, floating body, nanocrystal, nitride storage, scaling issues, single electron memories, memory, variability, scaling, SRAM, MEMs, DRAM, flash, MRAM, phase change, non-volatile, molecular memory
53Stefan Smolnik, Ludwig Nastansky K-Discovery Using Topic Maps to Identify Distributed Knowledge Structures in Groupware-Based Organizational Memories. Search on Bibsonomy HICSS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Knowledge Management, Groupware, Topic Maps, Organizational Memories, Knowledge Structures
48Jana Stanclová On the complexity of hierarchical associative memories. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hierarchical associative memories, complexity, associative memories
48María Elena Acevedo-Mosqueda, Cornelio Yáñez-Márquez, Itzamá López-Yáñez Alpha-Beta bidirectional associative memories: theory and applications. Search on Bibsonomy Neural Process. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Alpha-Beta associative memories, Perfect recall, Fingerprint identifier, Bidirectional associative memories
47Veera Papirla, Chaitali Chakrabarti Energy-aware error control coding for Flash memories. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-power design, Flash memories, error control coding, endurance
47Mario Aldape-Pérez, Cornelio Yáñez-Márquez, Amadeo José Argüelles-Cruz FPGA Implementation of Parallel Alpha-Beta Associative Memories. Search on Bibsonomy ICIAR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, Pattern Recognition, Fingerprint, Associative Memories, Reconfigurable Logic
47Thomas Olsson 0002, Marika Lehtonen, Dana Pavel, Kaisa Väänänen-Vainio-Mattila User-centered design of a mobile application for sharing life memories. Search on Bibsonomy Mobility Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF life memories, personal content management, user-centered design, mobile application, contextual design
46Sunghun Kim 0001, Kai Pan, E. James Whitehead Jr. Memories of bug fixes. Search on Bibsonomy SIGSOFT FSE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF bug finding tool, prediction, patterns, fault, bug, fix
43Huaqiang Wu, Yan Liao, Bin Gao 0006, Debanjan Jana, He Qian RRAM Cross-Point Arrays. Search on Bibsonomy 3D Flash Memories The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
43Rahul N. Advani The Business of NAND. Search on Bibsonomy 3D Flash Memories The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
43Frederic Sala, Clayton Schoeny, Lara Dolecek Advanced Algebraic and Graph-Based ECC Schemes for Modern NVMs. Search on Bibsonomy 3D Flash Memories The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
43Ioannis Voyiatzis An ALU-Based BIST Scheme for Word-Organized RAMs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Memory control and access, Reliability, Test generation, Built-In Tests, Testing and Fault-Tolerance, Semiconductor Memories
41Andrea Grimes, Martin Bednar, Jay David Bolter, Rebecca E. Grinter EatWell: sharing nutrition-related memories in a low-income community. Search on Bibsonomy CSCW The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low-income, underserved communities, mobile, memories, culture, health, cell phone, voice, nutrition
41Mie Nakatani, Seiko Myojin, Masumi Shimizu, Hirokazu Kato 0001, Shogo Nishida Communication Environment for Sharing Fond Memories. Search on Bibsonomy HCI (9) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fond memories, popular song, music box, reminiscence, park
41Rui M. Jesus, Arnaldo J. Abrantes, Nuno Correia 0001 Photo Retrieval from Personal Memories Using Generic Concepts. Search on Bibsonomy PCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF classification based on kernel, multimedia retrieval, personal memories
41Rajeshwar S. Sable, Ravindra P. Saraf, Rubin A. Parekhji, Arun N. Chandorkar Built-in Self-test Technique for Selective Detection of Neighbourhood Pattern Sensitive Faults in Memories. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Built-in self-test for memories, neighbourhood pattern sensitive faults, programmable BIST
41Max H. Garzon, Kiranchand V. Bobba, Andrew Neel Efficiency and Reliability of Semantic Retrieval in DNA-Based Memories. Search on Bibsonomy DNA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DNA-based memories, optimal concentration, data mining in vitro, pattern classification, semantic retrieval, data compaction
41Ad J. van de Goor, Magdy S. Abadir, Alan Carlin Minimal Test for Coupling Faults in Word-Oriented Memories. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF State coupling faults, word-oriented memories, tests, data backgrounds, m-out-of-n codes
41Narayanan Krishnamurthy, Andrew K. Martin, Magdy S. Abadir, Jacob A. Abraham Validation of PowerPC(tm) Custom Memories using Symbolic Simulation. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Simulation, Validation, Memories, Assertions, Symbolic
41Mohammad Gh. Mohammad, Kewal K. Saluja, Alex S. Yap Testing Flash Memories. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FG transistor, Testing, Flash memories, Disturbances
41Ad J. van de Goor, Yervant Zorian Effective march algorithms for testing single-order addressed memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Read/Write memories, single-address order, single-order addressed memory, SRAM, memory testing, March test
40Yasuaki Kuroe, Yuriko Taniguchi Models of complex-valued dynamic associative memories and analysis of their dynamics - Analytic and non-analytic activation functions -. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Muthu Manikandan Baskaran, Uday Bondhugula, Sriram Krishnamoorthy, J. Ramanujam, Atanas Rountev, P. Sadayappan Automatic data movement and computation mapping for multi-level parallel architectures with explicitly managed memories. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF graphics processor unit, multi-level tiling, scratchpad memory, data movement
40Peter Sussner, Marcos Eduardo Valle Implicative Fuzzy Associative Memories. Search on Bibsonomy IEEE Trans. Fuzzy Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Gordon R. Chiu, Deshanand P. Singh, Valavan Manohararajah, Stephen Dean Brown Mapping arbitrary logic functions into synchronous embedded memories for area reduction on FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Tathagato Rai Dastidar, Partha Ray A New Device Level Digital Simulator for Simulation and Functional Verification of Large Semiconductor Memories. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Juan Humberto Sossa Azuela, Ricardo Barrón, Francisco Cuevas de la Rosa, Carlos Aguilar Ibáñez, Héctor Cortés Extended Associative Memories for Recalling Gray Level Patterns.. Search on Bibsonomy CIARP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Jin-Fu Li 0001, Jen-Chieh Yeh, Rei-Fu Huang, Cheng-Wen Wu, Peir-Yuan Tsai, Archer Hsu, Eugene Chow A Built-In Self-Repair Scheme for Semiconductor Memories with 2-D Redundancy. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF built-in redundancy-analysis, built-in self-test, memory testing, semiconductor memory, built-in self-repair
40Ken Mai, Tim Paaske, Nuwan Jayasena, Ron Ho, William J. Dally, Mark Horowitz Smart Memories: a modular reconfigurable architecture. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
38Dhiraj K. Pradhan A New Class of Error-Correcting/Detecting Codes for Fault-Tolerant Computer Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF Coset codes, decoder logic, erasure decoding, mass memories, multiple errors, TSC checkers, error detection, error correction, transient faults, multiple faults, unidirectional errors, self-checking, shift register memories, read-only memories, random errors, two-rail checkers
37Paul Berube, Ashley Zinyk, José Nelson Amaral, Mike H. MacGregor The Bank Nth Chance Replacement Policy for FPGA-Based CAMs. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multizone cache, field programmable gate array, cache memories, memory systems, content addressable memories, replacement policy, digital design, Internet routing
37Christian Mandl, Adolfo Fucci A fast FPGA based coprocessor supporting hard real-time search. Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fast FPGA based coprocessor, hard real time search, dual port memories, programmable message driven multi port memories, sequential requests, data acquisition systems, hard real time requirements, DAC systems, coprocessor architectures, file descriptor table, implementation costs, TI DSP C40, hardware strategy, dual port memory, generic searching coprocessor, field programmable gate arrays, hardware implementation, computer systems, high throughput, searching strategy, design approach, DPMs
36Jen-Chieh Yeh, Kuo-Liang Cheng, Yung-Fa Chou, Cheng-Wen Wu Flash Memory Testing and Built-In Self-Diagnosis With March-Like Test Algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36J. M. Daga Design and Industrialization Challenges of Memory Dominated SOCs. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Mustaque Ahamad, James E. Burns, Phillip W. Hutto, Gil Neiger Causal Memory. Search on Bibsonomy WDAG The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Luca Benini, Alberto Macii, Massimo Poncino Energy-aware design of embedded memories: A survey of technologies, architectures, and optimization techniques. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF nonvolatile, Embedded systems, integration, memories, system-on-a-chip, embedded memories, volatile
36Yea-Ling Horng, Jing-Reng Huang, Tsin-Yuan Chang A realistic fault model for flash memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF NAND circuits, faulty behavior classification, NAND-type flash memory, SPICE models, flash cell models, circuit-level faulty behavior simulation, testing, fault model, fault modeling, fault simulation, flash memories, flash memories, circuit analysis computing, SPICE, integrated memory circuits
36S. Brent Morris, Arthur Valliere III, Richard A. Wisniewski Processes for Random and Sequential Accessing in Dynamic Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF address decoding, In-Out shuffle memory, sequential access, random access, Access time, dynamic memories, shift register memories, tours, perfect shuffle
36Jacques Lenfant Fast Random and Sequential Access to Dynamic Memories of Any Size. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1977 DBLP  DOI  BibTeX  RDF memory architecture, permutation network, Dynamic memories, shift-register memories, perfect shuffle
36A. Wolinsky A simple proof of Lewin's ordered-retrieval theorem for associative memories. Search on Bibsonomy Commun. ACM The full citation details ... 1968 DBLP  DOI  BibTeX  RDF access frequency proof, column digit values, column sensing arrangement, digit value readout, digit value variety, digit variety readout, memory access frequency, ordered information retrieval, ordered lists, ordered retrieval efficiency, ordered retrieval theorem, retrieval theorem proof, associative memories, content-addressed memories, memory access
35Enrique Guzmán 0001, Oleksiy B. Pogrebnyak, Cornelio Yáñez, Pablo Manrique Vector Quantization Algorithm Based on Associative Memories. Search on Bibsonomy MICAI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fast search, image coding, vector quantization, associative memories
35Carman Neustaedter, Elena A. Fedorovskaya Capturing and sharing memories in a virtual world. Search on Bibsonomy CHI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memories, virtual worlds, second life, photo sharing
35Enrique Guzmán 0001, Oleksiy B. Pogrebnyak, Luis Sánchez Fernández 0002, Cornelio Yáñez-Márquez A Fast Search Algorithm for Vector Quantization Based on Associative Memories. Search on Bibsonomy CIARP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fast search, image coding, vector quantization, associative memories
35Enrique Guzmán 0001, Oleksiy B. Pogrebnyak, Cornelio Yáñez Design of an Evolutionary Codebook Based on Morphological Associative Memories. Search on Bibsonomy MICAI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF evolutionary codebook, codebook generation, morphological associative memories
35Roberto Antonio Vázquez, Humberto Sossa, Beatriz A. Garro Face Recognition Using Some Aspects of the Infant Vision System and Associative Memories. Search on Bibsonomy CIARP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF infant vision system, face recognition, Associative memories
35Juan Humberto Sossa Azuela, Ricardo Barrón, Francisco Cuevas de la Rosa, Carlos Aguilar Ibáñez Associative Gray Level Pattern Processing using Binary Decomposition and alpha beta Memories. Search on Bibsonomy Neural Process. Lett. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF associative memories - ? ? object recognition - pattern recognition
35Rogério Martins Gomes, Antônio de Pádua Braga, Henrique E. Borges A Model for Hierarchical Associative Memories via Dynamically Coupled GBSB Neural Networks. Search on Bibsonomy ICANN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Coupled neural networks, TNGS, Artificial neural networks, Dynamical systems, Hierarchical memories
35Xuemei Zhao, Yizheng Yu, Chunxu Chen Tests for Word-Oriented Content Addressable Memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF word-oriented CAM, March algorithm, content addressable memories, Functional fault models, Spot defects
35Chi-Feng Wu, Chih-Tsun Huang, Kuo-Liang Cheng, Cheng-Wen Wu Simulation-Based Test Algorithm Generation for Random Access Memories. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF RAM fault simulation, March test algorithm, Cocktail-March test algorithms, semiconductor memories, RAM testing
35Kalavai J. Raghunath, Vladimir Cherkassky Noise Performance of Linear Associative Memories. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF linear associative memories, generalized inverse, training noise, S/N ratio gain, auto-associative modes, hetero-associative modes, correlation memory, noise performance, noise, neural nets, content-addressable storage, linear models, correlation matrix memory
34Zurab Khasidashvili, Mahmoud Kinanah, Andrei Voronkov Verifying equivalence of memories using a first order logic theorem prover. Search on Bibsonomy FMCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Rosanna Bova, Hye-Young Paik, Boualem Benatallah, Liangzhao Zeng, Salima Benbernou Task Memories and Task Forums: A Foundation for Sharing Service-Based Personal Processes. Search on Bibsonomy ICSOC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Isabelle Puaut, Christophe Pais Scratchpad memories vs locked caches in hard real-time systems: a quantitative comparison. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Peter Sussner, Marcos Eduardo Valle Gray-scale morphological associative memories. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Gianfranco Bilardi, Kattamuri Ekanadham, Pratap Pattnaik An Address Dependence Model of Computation for Hierarchical Memories with Pipelined Transfer. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Darren J. Kerbyson, Michael Lang 0003, Gene Patino, Hossein Amidi An empirical performance analysis of commodity memories in commodity servers. Search on Bibsonomy Memory System Performance The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance analysis, performance measurement, memory modules, memory system performance
34Swapnil Bahl A Novel Method for Silicon Configurable Test Flow and Algorithms for Testing, Debugging and Characterizing Different Types of Embedded Memories through a Shared Controller. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Cesar Augusto Tacla, Jean-Paul A. Barthès A Multi-agent Architecture for Evolving Memories. Search on Bibsonomy AMKM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Stefan Smolnik, Ingo Erdmann Visual Navigation of Distributed Knowledge Structures in Groupware-Based Organizational Memories. Search on Bibsonomy IV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Maya B. Gokhale, Janice M. Stone Automatic Allocation of Arrays to Memories in FPGA Processors with Multiple Memory Banks. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Sigurd L. Lillevik, John L. Easterday Throughput of multiprocessors with replicated shared memories. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
31Alejandro Bassi A Dynamic Associative Semantic Model for Natural Language Processing based on a Spreading Activation Network. Search on Bibsonomy SCCC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dynamic associative semantic model, spreading activation network, psycholinguistic theories, expected contextual relevance, top-down effect, semantic memories, open-worlds, natural language processing, perception, natural languages, semantic networks, episodic memories, human memory
31Cornelio Yáñez, Edgardo Manuel Felipe Riverón, Itzamá López-Yáñez, Rolando Flores-Carapia A Novel Approach to Automatic Color Matching. Search on Bibsonomy CIARP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Autoassociative memory, Alpha-Beta associative memories, Color matching
31Antonio Martí Campoy, Eugenio Tamura, Sergio Sáez, Francisco Rodríguez 0003, José V. Busquets-Mataix On Using Locking Caches in Embedded Real-Time Systems. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF genetic algorithms, performance evaluation, predictability, response time, Cache memories, schedulability analysis, execution time, embedded real-time systems
31Mayez A. Al-Mouhamed, Steven S. Seiden A Heuristic Storage for Minimizing Access Time of Arbitrary Data Patterns. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Boolean matrices, performance evaluation, heuristics, NP-complete, memory organization, storage schemes, parallel memories
30Kalyana C. Bollapalli, Rajesh Garg, Kanupriya Gulati, Sunil P. Khatri Low power and high performance sram design using bank-based selective forward body bias. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, high performance, body bias
30Israel Román-Godínez, Cornelio Yáñez-Márquez Complete Recall on Alpha-Beta Heteroassociative Memory. Search on Bibsonomy MICAI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Jayanta Bhadra, Magdy S. Abadir, David Burgess, Ekaterina Trofimova Automatic Generation of High Performance Embedded Memory Models for PowerPC Microprocessors. Search on Bibsonomy MTV The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Sander Stuijk, Twan Basten, Bart Mesman, Marc Geilen Predictable embedding of large data structures in multiprocessor networks-on-chip. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Chi-Feng Wu, Chih-Tsun Huang, Kuo-Liang Cheng, Cheng-Wen Wu Fault simulation and test algorithm generation for random accessmemories. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30David Karchmer, Jonathan Rose Definition and solution of the memory packing problem for field-programmable systems. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
29Cathal Gurrin, Hyowon Lee 0001, Jer Hayes iForgot: a model of forgetting in robotic memories. Search on Bibsonomy HRI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF digital memories, life experiences, robotics, forgetting
29Rachid Guerraoui, Thomas A. Henzinger, Barbara Jobstmann, Vasu Singh Model checking transactional memories. Search on Bibsonomy PLDI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF model checking, transactional memories
29Gurgen Harutunyan, Valery A. Vardanian, Yervant Zorian Minimal March Tests for Detection of Dynamic Faults in Random Access Memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dynamic fault primitives, dynamic functional fault models, march test algorithms, fault detection, random access memories
29Enrique Guzmán 0001, Selene Alvarado, Oleksiy B. Pogrebnyak, Luis Pastor Sánchez Fernández, Cornelio Yáñez Hardware Implementation of Image Recognition System Based on Morphological Associative Memories and Discrete Wavelet Transform. Search on Bibsonomy PSIVT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Image Recognition, Discrete Wavelet Transform, Hardware Implementation, Artificial Vision, Morphological Associative Memories
29Erwin J. Prinz The zen of nonvolatile memories. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FeRAM, SONOS, nanocrystal, oating gate, phase change memory, MRAM, nonvolatile memories
29Bartomeu Alorda, Vicent Canals, Ivan de Paúl, Jaume Segura 0001 A BIST-based Charge Analysis for Embedded Memories. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Current based testing, charge based testing, Embedded memories test, built-in current monitors
29Daniele Rossi 0001, Cecilia Metra Error Correcting Strategy for High Speed and High Density Reliable Flash Memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF symbol error correcting codes, error correcting circuitry, fault tolerance, reliability, flash memories
Displaying result #1 - #100 of 5730 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license