The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase stuck-open (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1981-1988 (19) 1989-1990 (16) 1991-1993 (18) 1994-1995 (18) 1996-1999 (23) 2000-2003 (17) 2004-2006 (18) 2007-2009 (20) 2010-2019 (9)
Publication types (Num. hits)
article(69) inproceedings(89)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 200 occurrences of 118 keywords

Results
Found 158 publication records. Showing 158 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
100Sreejit Chakravarty A characterization of robust test-pairs for stuck-open faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF fault simulation, robust tests, stuck-open faults, test generation algorithms
91Zaifu Zhang, Robert D. McLeod, Witold Pedrycz A neural network algorithm for testing stuck-open faults in CMOS combinational circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF stuck-open and gate delay faults, Neural networks, test pattern generation
83Mostafa I. H. Abd-El-Barr, Yanging Xu, Carl McCrosky Transistor Stuck-Open Fault Detection in Multilevel CMOS Circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Transistor stuck-open fault, multi-level CMOS circuits testing, robust CMOS testing, test pattern generation, two-pattern test
79Hyung Ki Lee, Dong Sam Ha, Kwanghyun Kim Test Generation of Stuck-open Faults Using Stuck-at Test Sets in CMOS Combinational Circuits. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
73Rene David, S. Rahal, J. L. Rainard Some relationships between delay testing and stuck-open testing in CMOS circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF stuck-open, combinational circuits, CMOS, Delay testing, robust test
60Kent L. Einspahr, Sharad C. Seth A switch-level test generation system for synchronous and asynchronous circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF reverse time processing, stuck-open and stuck-at faults, time-frame expansion, sequential circuits, Automatic test generation
57Michele Favalli, Piero Olivo, Maurizio Damiani, Bruno Riccò Fault simulation of unconventional faults in CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
57Michael Nicolaidis Shorts in self-checking circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF strongly fault-secure circuits, transistor faults, error detecting codes, self-checking circuits, totally self-checking circuits
54Rochit Rajsuman, Anura P. Jayasumana, Yashwant K. Malaiya CMOS Stuck-open Fault Detection Using Single Test Patterns. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
51Michael J. Bryan, Srinivas Devadas, Kurt Keutzer Necessary and sufficient conditions for hazard-free robust transistor stuck-open-fault testability in multilevel networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
51Sarma Sastry, Melvin A. Breuer Detectability of CMOS stuck-open faults using random and pseudorandom test sequences. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
49Fan Yang 0060, Sreejit Chakravarty, Narendra Devta-Prasanna, Sudhakar M. Reddy, Irith Pomeranz Detectability of internal bridging faults in scan chains. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
49Feng Shi 0010, Yiorgos Makris A Transistor-Level Test Strategy for C^2MOS MOUSETRAP Asynchronous Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49Janusz A. Starzyk, Dong Liu Locating stuck faults in analog circuits. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
49Chien-Mo James Li, Edward J. McCluskey Diagnosis of resistive-open and stuck-open defects in digital CMOS ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
47Th. Haniotakis, Y. Tsiatouhas, Dimitris Nikolos, Costas Efstathiou On Testability of Multiple Precharged Domino Logic. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
46S. Koeppe Optimal Layout to Avoid CMOS Stuck-Open Faults. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
44Chien-Mo James Li, Edward J. McCluskey Diagnosis of Sequence-Dependent Chips. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
44Niraj K. Jha Multiple Stuck-Open Fault Detection in CMOS Logic Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF multiple stuck-open fault detection, logic testing, CMOS logic circuits, logic circuits, CMOS integrated circuits, integrated logic circuits, two-pattern tests
42Fan Yang 0060, Sreejit Chakravarty, Narendra Devta-Prasanna, Sudhakar M. Reddy, Irith Pomeranz Detection of Transistor Stuck-Open Faults in Asynchronous Inputs of Scan Cells. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Elham K. Moghaddam, Shaahin Hessabi An On-Line BIST Technique for Stuck-Open Fault Detection in CMOS Circuits. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Hafizur Rahaman 0001, Debesh K. Das, Bhargab B. Bhattacharya An Adaptive BIST to Detect Multiple Stuck-Open Faults in CMOS circuits. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
42Debesh Kumar Das, Susanta Chakraborty, Bhargab B. Bhattacharya New BIST Techniques for Universal and Robust Testing of CMOS Stuck-Open Faults. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
42Sreejit Chakravarty, S. S. Ravi Computing optimal test sequences from complete test sets for stuck-open faults in CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
42Sreejit Chakravarty On Synthesizing and Identifying Stuck-Open Testable CMOS Combinational Circuits (extended abstract). Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
42Beyin Chen, Chung-Len Lee 0001 Universal test set generation for CMOS circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF functional testing, automatic test generation, CMOS circuits, stuck-open faults, universal test set
42Samy Makar, Edward J. McCluskey Checking experiments to test latches. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF exhaustive functional tests, 2-state latches, minimum-length checking, D-latch, HSpice implementation, transmission gate latch, detectable shorted interconnects, open interconnects, short-to-power faults, short-to-ground faults, pin fault test set, multiplexer-based test set, sequential elements, 2-state state machines, simulation, fault diagnosis, logic testing, finite state machines, integrated circuit testing, sequential circuits, CMOS, circuit analysis computing, CMOS logic circuits, SPICE, stuck open faults, checking experiments, stuck-on faults
40A. B. M. Harun-ur Rashid, Mazuhidul Karim, Syed Mahfuzul Aziz Testing complementary pass-transistor logic circuits. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
39Hyung Ki Lee, Dong Sam Ha SOPRANO: An Efficient Automatic Test Pattern Generator for Stuck-Open Faults in CMOS Combinational Circuits. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
39Malay Kule, Hafizur Rahaman 0001, Bhargab B. Bhattacharya Maximal Defect-Free Component in Nanoscale Crossbar Circuits Amidst Stuck-Open and Stuck-Closed Faults. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
39Yoshinobu Higami, Kewal K. Saluja, Hiroshi Takahashi, Shin-ya Kobayashi, Yuzo Takamatsu Maximizing Stuck-Open Fault Coverage Using Stuck-at Test Vectors. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
39Xinyue Fan, Will R. Moore, Camelia Hora, Guido Gronthoud Stuck-open fault diagnosis with stuck-at model. Search on Bibsonomy ETS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Xinyue Fan, Will R. Moore, Camelia Hora, Guido Gronthoud A novel stuck-at based method for transistor stuck-open fault diagnosis. Search on Bibsonomy ITC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39François Darlay Detection of multiple stuck-on/stuck-open faults by single faults test sets in MOS transistor networks. Search on Bibsonomy Microprocessing and Microprogramming The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
39V. Kim, T. Chen Assessing SRAM test coverage for sub-micron CMOS technologies. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF submicron CMOS technologies, SRAM test coverage assessment, memory fault probability model, memory array, data retention faults, memory fault coverages, memory test algorithms, functional fault class coverages, 0.5 to 1 mum, stuck-at faults, transition faults, stuck-open faults, coupling faults, physical defects, CMOS memory circuits
39Salvador Manich, Michael Nicolaidis, Joan Figueras Enhancing realistic fault secureness in parity prediction array arithmetic operators by IDDQ monitoring. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF mathematical operators, parity prediction array arithmetic operators, IDDQ current monitoring, fault diagnosis, logic testing, fault detection, stuck-at faults, bridging faults, multiplying circuits, multiplier circuit, arithmetic circuits, logic arrays, stuck-open faults, topological design, SPICE simulation, fault secureness
39Michele Favalli, Marcello Dalpasso, Piero Olivo Modeling and simulation of broken connections in CMOS IC's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
37Themistoklis Haniotakis, Y. Tsiatouhas, Dimitris Nikolos, Costas Efstathiou Testable Designs of Multiple Precharged Domino Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Kuen-Jong Lee, Charles Njinda, Melvin A. Breuer SWiTEST: a switch level test generation system for CMOS combinational circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
35Sandip Kundu, Sudhakar M. Reddy Robust tests for parity trees. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF linear gates, parity trees, URTS, robust tests, test length
32Piotr R. Sidorowicz, Janusz A. Brzozowski A framework for testing special-purpose memories. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Yinlei Yu, Jian Xu, Wei-Kang Huang, Fabrizio Lombardi Diagnosing Single Faults for Interconnects in SRAM Based FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF FPGA, testing, fault diagnosis, fault model
32Wenyi Feng, Fred J. Meyer, Fabrizio Lombardi Novel Control Pattern Generators for Interconnect Testing with Boundary Scan. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST, boundary scan, Interconnect testing
32Niraj K. Jha Strong fault-secure and strongly self-checking domino-CMOS implementations of totally self-checking circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
32Niraj K. Jha Testing for multiple faults in domino-CMOS logic circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
32Narendra Devta-Prasanna, Arun Gunda, P. Krishnamurthy, Sudhakar M. Reddy, Irith Pomeranz A Unified Method to Detect Transistor Stuck-Open Faults and Transition Delay Faults. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32F. Darlay, Bernard Courtois Robust tests for stuck-open faults and design for testability of reconvergent fan-out CMOS logic networks. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
32Jhing-Fa Wang, Tah-Yuan Kuo, Jau-Yien Lee A New Approach to Derive Robust Sets for Stuck-open Faults in CMOS Combinational Logic Circuits. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
32Weiwei Mao, Xieting Ling Robust test generation algorithm for stuck-open fault in CMOS circuits. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
32Ioannis Voyiatzis, Antonis M. Paschalis, Dimitris Nikolos, Constantin Halatsis An Accumulator-Based BIST Approach for Two-Pattern Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF stuck-open fault testing, built-in self test, delay fault testing, two-pattern testing
32Yinan N. Shen, Xiao-Tao Chen, Susumu Horiguchi, Fabrizio Lombardi On the multiple fault diagnosis of multistage interconnection networks: the lower bound and the CMOS fault model. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF CMOS fault model, multiple fault diagnosis, interconnection networks, fault diagnosis, lower bound, multistage interconnection networks, multistage interconnection networks, CMOS technology, stuck-open faults
32Meng-Lieh Sheu, Chung-Len Lee 0001 A programmable multiple-sequence generator for BIST applications. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF programmable multiple-sequence generator, BIST applications, two-dimension-like feedback shift register, deterministic sequence, pseudo-random vectors, sequence segmentation method, stuck-open fault testing, logic testing, delays, built-in self test, sequential circuits, shift registers, delay fault testing, binary sequences, sequential circuit testing, regular structure, MCM testing
27David de Andrés, Juan-Carlos Ruiz-Garcia, Daniel Gil, Pedro J. Gil Fault Emulation for Dependability Evaluation of VLSI Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27S. M. Aziz, Joarder Kamruzzaman Fault Characterization of Low Capacitance Full-Swing BiCMOS Logic Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Irith Pomeranz, Sudhakar M. Reddy Double-Single Stuck-at Faults: A Delay Fault Model for Synchronous Sequential Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Jin-Fu Li 0001 Testing Ternary Content Addressable Memories With Comparison Faults Using March-Like Tests. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Jin-Fu Li 0001, Chou-Kun Lin Modeling and Testing Comparison Faults for Ternary Content Addressable Memories. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Jun Zhao 0005, V. Swamy Irrinki, Mukesh Puri, Fabrizio Lombardi Testing SRAM-Based Content Addressable Memories. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF March C algorithm, fault detection, fault modeling, memory testing, Content addressable memory
25Udo Mahlstedt, Jürgen Alt, Ingo Hollenbeck Deterministic test generation for non-classical faults on the gate level. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF deterministic test pattern generator, gate level fault models, function conversions, nonclassical faults, fault list generator, library-based fault modeling strategy, ISCAS benchmark circuits, scan-based circuits, CMOS cell library, algorithm, fault diagnosis, logic testing, design for testability, ATPG, combinational circuits, combinational circuits, fault simulator, logic CAD, stuck-at faults, CMOS logic circuits, bridging faults, deterministic algorithms, logic simulation, transition faults, automatic test software, test efficiency, CONTEST
25Jos van Sas, Chay Nowé, Didier Pollet, Francky Catthoor, Paul Vanoostende, Hugo De Man Design of a C-testable booth multiplier using a realistic fault model. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF test generation, design for testability, fault modelling, Array multipliers, C-testability
25Chun-Hung Chen, Jacob A. Abraham Generation and evaluation of current and logic tests for switch-level sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF logic tests, test generation, Current tests, I DDQ
25Andres R. Takach, Niraj K. Jha Easily testable gate-level and DCVS multipliers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
25Marie-Lise Flottes, Christian Landrault, Serge Pravossoudovitch Fault modeling and fault equivalence in CMOS technology. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF test generation, Fault modeling, fault collapsing, fault equivalence
25Dick L. Liu, Edward J. McCluskey Design of large embedded CMOS PLAs for built-in self-test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
22Tsai-Ling Tsai, Jin-Fu Li 0001, Chun-Lung Hsu, Chi-Tien Sun Testing stuck-open faults of priority address encoder in content addressable memories. Search on Bibsonomy ASP-DAC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Daniel Arumí, Rosa Rodríguez-Montañés, Joan Figueras Test Escapes of Stuck-Open Faults Caused by Parasitic Capacitances and Leakage Currents. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Adit D. Singh Cell Aware and stuck-open tests. Search on Bibsonomy ETS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Xijiang Lin, Wu-Tung Cheng, Janusz Rajski On Improving Transition Test Set Quality to Detect CMOS Transistor Stuck-Open Faults. Search on Bibsonomy ATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
22Xijiang Lin, Sudhakar M. Reddy, Janusz Rajski Using Boolean Tests to Improve Detection of Transistor Stuck-Open Faults in CMOS Digital Logic Circuits. Search on Bibsonomy VLSID The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
22Víctor H. Champac, Julio Vazquez Hernandez, Salvador Barcelo, Roberto Gómez 0001, Chuck Hawkins, Jaume Segura 0001 Testing of Stuck-Open Faults in Nanometer Technologies. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Szu-Pang Mu, Yi-Ming Wang, Hao-Yu Yang, Mango Chia-Tso Chao, Shi-Hao Chen, Chih-Mou Tseng, Tsung-Ying Tsai Testing methods for detecting stuck-open power switches in coarse-grain MTCMOS designs. Search on Bibsonomy ICCAD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
22Narendra Devta-Prasanna, Arun Gunda, Sudhakar M. Reddy, Irith Pomeranz Multiple fault activation cycle tests for transistor stuck-open faults. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
22Roberto Gómez 0001, Víctor H. Champac, Chuck Hawkins, Jaume Segura 0001 A modern look at the CMOS stuck-open fault. Search on Bibsonomy LATW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Julio César Vázquez, Víctor H. Champac, Chuck Hawkins, Jaume Segura 0001 Stuck-Open Fault Leakage and Testing in Nanometer Technologies. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Hafizur Rahaman 0001, Debesh K. Das, Bhargab B. Bhattacharya An Adaptive BIST Design for Detecting Multiple Stuck-Open Faults in a CMOS Complex Cell. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Yoshinobu Higami, Kewal K. Saluja, Hiroshi Takahashi, Shin-ya Kobayashi, Yuzo Takamatsu Increasing Defect Coverage by Generating Test Vectors for Stuck-Open Faults. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Fan Yang 0060, Sreejit Chakravarty, Narendra Devta-Prasanna, Sudhakar M. Reddy, Irith Pomeranz Detection of Internal Stuck-open Faults in Scan Chains. Search on Bibsonomy ITC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Afzel Noore Improved IDDQ design-for-testability technique to detect CMOS stuck-open faults. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Fadi A. Aloul, Assim Sagahyroon, Bashar Al-Rawi Exciting Stuck-Open faults in CMOS Circuits Using ILP Techniques. Search on Bibsonomy AICCSA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Afzel Noore Reliable detection of CMOS stuck-open faults due to variable internal delays. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Hafizur Rahaman 0001, Debesh K. Das, Bhargab B. Bhattacharya BIST Design for Detecting Multiple Stuck-Open Faults in CMOS Circuits Using Transition Count. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Sankaran M. Menon, Yashwant K. Malaiya, Anura P. Jayasumana, Rochit Rajsuman Testable design of BiCMOS circuits for stuck-open fault detection using single patterns. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Kiyoshi Furuya, Susumu Yamazaki, Masayuki Sato Stuck-Open Fault Detectabilities of Various TPG Circuits for Use in Two-Pattern Testing. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 1995 DBLP  BibTeX  RDF
22Slawomir Pilarski, Kevin James Wiebe Counter-Based Compaction: Delay and Stuck-Open Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF edge counting, ones counting, transition counting, built-in self-test, Aliasing probability, test response compaction
22Ioannis Voyiatzis, Antonis M. Paschalis, Dimitris Nikolos, Constantin Halatsis Accumulator-based BIST approach for stuck-open and delay fault testing. Search on Bibsonomy ED&TC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Hyung Ki Lee, Dong S. Ha 0001 An Efficient Automatic Test Pattern Generator for Stuck-Open Faults in CMOS Combinational Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Chih-Ang Chen, Sandeep K. Gupta 0001 BIST Test Pattern Generators for Stuck-Open and Delay Testing. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
22Enrico Macii, Qing Xu Modeling stuck-open faults in CMOS iterative circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
22Sankaran M. Menon, Anura P. Jayasumana, Yashwant K. Malaiya Testable design for BiCMOS stuck-open fault detection. Search on Bibsonomy VTS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
22Seiji Kajihara, Noriyoshi Itazaki, Kozo Kinoshita Stuck-open faults test generation for cmos combinational circuits. Search on Bibsonomy Syst. Comput. Jpn. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
22Steven D. Millman, Edward J. McCluskey Bridging, Transition, and Stuck-Open Faults in Self-Testing CMOS Checkers. Search on Bibsonomy FTCS The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
22Kuen-Jong Lee, Melvin A. Breuer On the charge sharing problem in CMOS stuck-open fault testing. Search on Bibsonomy ITC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Jerry M. Soden, R. Keith Treece, Michael R. Taylor, Charles F. Hawkins CMOS IC Stuck-Open Fault Electrical Effects and Design Considerations. Search on Bibsonomy ITC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
22Henry Cox, Janusz Rajski Stuck-Open and Transition Fault Testing in CMOS Complex Gates. Search on Bibsonomy ITC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
22Sudhakar M. Reddy, Madhukar K. Reddy Testable Realizations for FET Stuck-Open Faults CMOS Combinational Logic Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
22Madhukar K. Reddy, Sudhakar M. Reddy Detecting FET Stuck-Open Faults in CMOS Latches and Flip-Flops. Search on Bibsonomy IEEE Des. Test The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
22Gary L. Craig, Charles R. Kime Pseudo-Exhaustive Adjacency Testing: A BIST Approach for Stuck-Open Faults. Search on Bibsonomy ITC The full citation details ... 1985 DBLP  BibTeX  RDF
22Yacoub M. El-Ziq, Richard J. Cloutier Functional-Level Test Generation for Stuck-Open Faults in CMOS VLSI. Search on Bibsonomy ITC The full citation details ... 1981 DBLP  BibTeX  RDF
22Yacoub M. El-Ziq Automatic test generation for stuck-open faults in CMOS VLSI. Search on Bibsonomy DAC The full citation details ... 1981 DBLP  BibTeX  RDF
22Jishun Kuang, Yu Wang, Xiaofen Wei, Changnian Zhang IDDT ATPG Based on Ambiguous Delay Assignments. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF IDDT testing, delay Assignments, stuck-open fault
Displaying result #1 - #100 of 158 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license