The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ATPG with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1990 (28) 1991-1993 (24) 1994-1995 (46) 1996 (38) 1997 (37) 1998 (36) 1999 (52) 2000 (47) 2001 (42) 2002 (58) 2003 (61) 2004 (54) 2005 (65) 2006 (67) 2007 (50) 2008 (45) 2009 (30) 2010 (16) 2011-2012 (20) 2013 (16) 2014-2015 (25) 2016-2017 (27) 2018-2019 (21) 2020-2022 (23) 2023 (11)
Publication types (Num. hits)
article(216) incollection(4) inproceedings(717) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1073 occurrences of 407 keywords

Results
Found 939 publication records. Showing 939 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
36Xiao Liu 0010, Michael S. Hsiao Constrained ATPG for Broadside Transition Testing. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Peter Wohl, John A. Waicukauski, Sanjay Patel, Minesh B. Amin X-Tolerant Compression And Application of Scan-ATPG Patterns In A BIST Architecture. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Qingwei Wu, Michael S. Hsiao Efficient Sequential ATPG Based on Partitioned Finite-State-Machine Traversal. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Daniel G. Saab, Jacob A. Abraham, Vivekananda M. Vedula Formal Verification Using Bounded Model Checking: SAT versus Sequential ATPG Engines. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Andreas G. Veneris, Magdy S. Abadir Design rewiring using ATPG. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Maria K. Michael, Spyros Tragoudas ATPG tools for delay faults at the functional level. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF functional-level testing, path delay fault simulation (coverage), testing digital circuits, Automatic test pattern generation, Binary Decision Diagrams, delay testing, Boolean Satisfiability, path delay fault testing
36Jacob A. Abraham, Vivekananda M. Vedula, Daniel G. Saab Verifying Properties Using Sequential ATPG. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36HyungWon Kim 0001, John P. Hayes Realization-independent ATPG for designs with unimplemented blocks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
36Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen Verifying sequential equivalence using ATPG techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
36Yong Chang Kim, Kewal K. Saluja, Vishwani D. Agrawal Combinational Test Generation for Acyclic SequentialCircuits using a Balanced ATPG Model. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
36Silvia Chiusano, Fulvio Corno, Paolo Prinetto Exploiting Behavioral Information in Gate-Level ATPG. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF genetic algorithms, software testing, simulated annealing, high level synthesis, high level test
36Vamsi Boppana, Sreeranga P. Rajan, Koichiro Takayama, Masahiro Fujita Model Checking Based on Sequential ATPG. Search on Bibsonomy CAV The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Spyros Tragoudas, Maria K. Michael ATPG Tools for Delay Faults at the Functional Level. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Enrique San Millán, Luis Entrena, José Alberto Espejo, Silvia Chiusano, Fulvio Corno Integrating Symbolic Techniques in ATPG-Based Sequential Logic Optimization. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36HyungWon Kim 0001, John P. Hayes High-coverage ATPG for datapath circuits with unimplemented blocks. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36Brion L. Keller, Kevin McCauley, Joseph Swenton, James Youngs ATPG in practical and non-traditional applications. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
36Jaehong Park, M. Ray Mercer Using Functional Information and Strategy Switching in Sequential ATPG. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
36Pranav Ashar, Sharad Malik Functional timing analysis using ATPG. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
34Jiniun Xionq, Yiyu Shi 0001, Vladimir Zolotov, Chandu Visweswariah Pre-ATPG path selection for near optimal post-ATPG process space coverage. Search on Bibsonomy ICCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
33Waleed K. Al-Assadi, Sindhu Kakarla Design for Test of Asynchronous NULL Convention Logic (NCL) Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF NULL convention logic (NCL), SCOAP, ATPG, Asynchronous circuits, Design for test (DFT)
33Xiaoqing Wen, Kohei Miyase, Tatsuya Suzuki, Seiji Kajihara, Laung-Terng Wang, Kewal K. Saluja, Kozo Kinoshita Low Capture Switching Activity Test Generation for Reducing IR-Drop in At-Speed Scan Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF At-speed scan testing, Capture switching activity, X-filling, Test cube, ATPG, Low power testing
33Stefan Spinner, Ilia Polian, Piet Engelke, Bernd Becker 0001, Martin Keim, Wu-Tung Cheng Automatic Test Pattern Generation for Interconnect Open Defects. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Interconnect opens, Open-via defects, ATPG
33Michael A. Kochte, Christian G. Zoellin, Michael E. Imhof, Hans-Joachim Wunderlich Test Set Stripping Limiting the Maximum Number of Specified Bits. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Test relaxation, tailored ATPG, test generation
33Piet Engelke, Ilia Polian, Michel Renovell, Bernd Becker 0001 Automatic Test Pattern Generation for Resistive Bridging Faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF resistive short defects, ATPG, SAT, bridging faults
33Shahin Nazarian, Massoud Pedram, Sandeep K. Gupta 0001, Melvin A. Breuer STAX: statistical crosstalk target set compaction. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF compaction degree, fault-producing target, pruning power, safe target, statistical static timing analyzer, ATPG
33Huawei Li 0001, Xiaowei Li 0001 Selection of Crosstalk-Induced Faults in Enhanced Delay Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF automatic test pattern generation (ATPG), crosstalk, delay test, critical paths
33Mahilchi Milir Vaseekar Kumar, Spyros Tragoudas Low power test generation for path delay faults using stability functions. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, ATPG, path delay faults
33Peter Wohl, John A. Waicukauski, Sanjay Patel Scalable selector architecture for x-tolerant deterministic BIST. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF test-generation (ATPG), test-data compression
33Takahisa Hiraide, Kwame Osei Boateng, Hideaki Konishi, Koichi Itaya, Michiaki Emori, Hitoshi Yamanaka, Takashi Mochiyama BIST-Aided Scan Test - A New Method for Test Cost Reduction. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ATPG, BIST, fault coverage, ATE, test cost reduction
33Peter Wohl, John A. Waicukauski, Sanjay Patel, Minesh B. Amin Efficient compression and application of deterministic patterns in a logic BIST architecture. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF test-generation (ATPG), self-test (BIST)
33Nuno Cardoso, Carlos Beltrán Almeida, José Carlos da Silva 0001 A System Level Boundary Scan Controller Board for VME Applications. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF IEEE 1149.1 boundary scan test, board level test and system level test, ATPG
33Fei Li 0003, Lei He 0001 Maximum current estimation considering power gating. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF low-power design, ATPG, power estimation, power gating
33Jaehong Park, Carl Pixley, Michael Burns, Hyunwoo Cho An Efficient Logic Equivalence Checker for Industrial Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF logic checking, ATPG, BDD, formal, combinational, functional verification, equivalence, MET
33Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda, Giovanni Squillero A Genetic Algorithm for the Computation of Initialization Sequences for Synchronous Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Initialization sequence, Genetic Algorithm, ATPG
33Toshinori Hosokawa, Kenichi Kawaguchi, Mitsuyasu Ohta, Michiaki Muraoka A Design for testability Method Using RTL Partitioning. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF line-up structure, internally balanced structure, acyclic structure, partitioning, ATPG, DFT, RTL, isolation, balanced structure
33Sybille Hellebrand, Birgit Reeb, Steffen Tarnick, Hans-Joachim Wunderlich Pattern generation for a deterministic BIST scheme. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ATPG, BIST, Test Synthesis
33Hyoung B. Min, William A. Rogers Search strategy switching: A cost model and an analysis of backtracking. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF search strategy switching, ATPG, fault coverage, backtracking
32Alessandro Fin, Franco Fummi, Graziano Pravadelli Mixing ATPG and property checking for testing HW/SW interfaces. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF model cecking, ATPG, fault simulation
32Feng Lu 0002, Li-C. Wang, Kwang-Ting Cheng, John Moondanos, Ziyad Hanna A signal correlation guided ATPG solver and its applications for solving difficult industrial cases. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF boolean equivalence checking, ATPG, boolean satisfiability
32Jing Zeng, Magdy S. Abadir, Jacob A. Abraham False timing path identification using ATPG techniques and delay-based information. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF false timing paths, timing slack, ATPG, static timing analysis
32Junichi Hirase, Shinichi Yoshimura Faster processing for microprocessor functional ATPG. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF microprocessor functional ATPG, fault coverage improvement, short test pattern, processing speed increase, logic testing, integrated circuit testing, automatic test pattern generation, identification, test pattern generation, functional testing, microprocessor chips, instruction sets, instruction sets, microprocessor tests
32Malay K. Ganai, Adnan Aziz, Andreas Kuehlmann Enhancing Simulation with BDDs and ATPG. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF simulation, formal verification, coverage, ATPG, BDDs
32Samy Makar, Edward J. McCluskey ATPG for scan chain latches and flip-flops. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF bistable element, scan chain circuit, combinational defect detection, algorithm, ATPG, automatic test pattern generation, automatic testing, stuck-at fault, flip-flop, latch, checking experiment
32Hisashi Kondo, Kwang-Ting Cheng Driving toward higher IDDQ test quality for sequential circuits: a generalized fault model and its ATPG. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Selective IDDQ, Pseudo Stuck-at Fault, Sequential ATPG, Vector compaction, Test, Fault model, IDDQ, Leakage Fault
32Ismed Hartanto, Vamsi Boppana, W. Kent Fuchs Identification of unsettable flip-flops for partial scan and faster ATPG. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF ISCAS89 circuits, deterministic test generation, difficult-to-set hip-hops, hip-hops, state elements, state justification, transformed circuits, unsettable flip-flops identification, logic testing, ATPG, partial scan, sequential circuits test generation
32Wilfried Daehn Load Balancing in a Hybrid ATPG Environment. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1991 DBLP  DOI  BibTeX  RDF test pattern computation, online estimation, Apollo DN3000, monitoring, logic testing, fault detection, ATPG, automatic testing, fault simulation, fault simulation, automatic test program generation
29Indradeep Ghosh, Srivaths Ravi 0001 On automatic generation of RTL validation test benches using circuit testing techniques. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF OCCOM, RTL ATPG, RTL testing, path coverage, small validation, toggle coverage, test, testing, generation, ATPG, fault coverage, code coverage, test sets, design validation, coverage metrics, universal test sets, testbench, branch coverage
28Vishal J. Mehta, Malgorzata Marek-Sadowska, Kun-Han Tsai, Janusz Rajski Timing-Aware Multiple-Delay-Fault Diagnosis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28F. S. Chim, T. K. Lam, Y. L. Wu On improved scheme for digital circuit rewiring and application on further improving FPGA technology mapping. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki GECOM: Test data compression combined with all unknown response masking. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Venkat Satagopan, Bonita Bhaskaran, Waleed K. Al-Assadi, Scott C. Smith, Sindhu Kakarla DFT Techniques and Automation for Asynchronous NULL Conventional Logic Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Sying-Jyan Wang, Yan-Ting Chen, Katherine Shu-Min Li Low Capture Power Test Generation for Launch-off-Capture Transition Test Based on Don't-Care Filling. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Jorge Semião, Juan J. Rodríguez-Andina, Fabian Vargas 0001, Marcelino Bicho Dos Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Improving the Tolerance of Pipeline Based Circuits to Power Supply or Temperature Variations. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Rolf Drechsler, Görschwin Fey Automatic Test Pattern Generation. Search on Bibsonomy SFM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Ondrej Novák, Zdenek Plíva, Jiri Jenícek, Zbynek Mader, Michal Jarkovský Self Testing SoC with Reduced Memory Requirements and Minimized Hardware Overhead. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Arkan Abdulrahman, Spyros Tragoudas Power-Aware Test Pattern Generation for Improved Concurrency at the Core Level. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Junhao Shi, Görschwin Fey, Rolf Drechsler, Andreas Glowatz, Friedrich Hapke, Jürgen Schlöffel PASSAT: Efficient SAT-Based Test Pattern Generation for Industrial Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Aristides Efthymiou, Christos P. Sotiriou, Douglas A. Edwards Automatic Scan Insertion and Pattern Generation for Asynchronous Circuits. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Ganapathy Parthasarathy, Madhu K. Iyer, Kwang-Ting Cheng, Li-C. Wang Efficient reachability checking using sequential SAT. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Madhu K. Iyer, Ganapathy Parthasarathy, Kwang-Ting Cheng SATORI - A Fast Sequential SAT Engine for Circuits. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Magdy S. Abadir, Juhong Zhu Transition Test Generation using Replicate-and-Reduce Transform for Scan-based Designs. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Seongmoon Wang, Sandeep K. Gupta 0001 An automatic test pattern generator for minimizing switching activity during scan testing activity. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Chen Wang 0014, Sudhakar M. Reddy, Irith Pomeranz, Xijiang Lin, Janusz Rajski Conflict driven techniques for improving deterministic test pattern generation. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Ajay Khoche, Erik H. Volkerink, Jochen Rivoir, Subhasish Mitra Test Vector Compression Using EDA-ATE Synergies. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Li-C. Wang, Magdy S. Abadir, Juhong Zhu On Testing High-Performance Custom Circuits without Explicit Testing of the Internal Faults. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Kenichi Ichino, Takeshi Asakawa, Satoshi Fukumoto, Kazuhiko Iwasaki, Seiji Kajihara Hybrid BIST Using Partially Rotational Scan. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Kun-Han Tsai, Janusz Rajski, Malgorzata Marek-Sadowska Star test: the theory and its applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Ramakrishna Voorakaranam, Abhijit Chatterjee Test Generation for Accurate Prediction of Analog Specifications. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Kuen-Jong Lee, Jing-Jou Tang, Tsung-Chu Huang BIFEST: a built-in intermediate fault effect sensing and test generation system for CMOS bridging faults. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Michael Nicolaidis, Yervant Zorian Scaling Deeper to Submicron: On-Line Testing to the Rescue. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Uwe Hübner, Heinrich Theodor Vierhaus, Raul Camposano Partitioning and analysis of static digital CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
28H.-Ch. Dahmen, Uwe Gläser, Heinrich Theodor Vierhaus An Efficient Dynamic Parallel Approach to Automatic Test Pattern Generation. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
28Raghuram S. Tupuri, Jacob A. Abraham A Novel Hierarchical Test Generation Method for Processors. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
28Joseph M. Wolf, Lori M. Kaufman, Robert H. Klenke, James H. Aylor, Ronald Waxman An analysis of fault partitioned parallel test generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Jaushin Lee, Janak H. Patel Hierarchical test generation under architectural level functional constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
28Shih-Chieh Chang, Lukas P. P. P. van Ginneken, Malgorzata Marek-Sadowska Fast Boolean optimization by rewiring. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Boolean logic optimization, boolean optimization, mandatory assignments, Automatic Test Pattern Generation, automatic testing, rewiring
28M. H. Konijnenburg, J. Th. van der Linden, Ad J. van de Goor Compact test sets for industrial circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF compact test sets, industrial circuits, binary logic elements, three-state elements, compaction oriented decision making, heuristics, logic testing, integrated circuit testing, automatic test pattern generation, combinational circuits, automatic testing, multivalued logic circuits, test patterns, bidirectionals, xor gates, or gates, test set size
28Wolfgang Kunz, Prem R. Menon Multi-level logic optimization by implication analysis. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
28Daniel G. Saab, Youssef Saab, Jacob A. Abraham Iterative [simulation-based genetics + deterministic techniques]= complete ATPG0. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
28Elisabeth Auth, Michael H. Schulz A Test-Pattern-Generation Algorithm for Sequential Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
28John Giraldi, Michael L. Bushnell EST: The New Frontier in Automatic Test-Pattern Generation. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
26Davide Bresolin, Giuseppe Di Guglielmo, Franco Fummi, Graziano Pravadelli, Tiziano Villa The impact of EFSM composition on functional ATPG. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Zahi S. Abuhamdeh A Case Study of ATPG Delay Path Performance Based on Measured Power Rail Integrity. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Nisar Ahmed, Mohammad Tehranipoor, Vinay Jayaram Supply Voltage Noise Aware ATPG for Transition Delay Faults. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Edward Flanigan, Rajsekhar Adapa, Hailong Cui, Michael Laisne, Spyros Tragoudas, Tsvetomir Petrov Function-based ATPG for Path Delay Faults using the Launch-Off-Capture Scan Architecture. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Jorge Campos, Hussain Al-Asaad Circuit Profiling Mechanisms for High-Level {ATPG}. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Vlado Vorisek, Bruce Swanson, Kun-Han Tsai, Dhiraj Goswami Improved Handling of False and Multicycle Paths in ATPG. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Andreas G. Veneris, Robert Chang, Magdy S. Abadir, Sep Seyedi Functional Fault Equivalence and Diagnostic Test Generation in Combinational Logic Circuits Using Conventional ATPG. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF diagnostic test generation, VLSI, test generation, fault
26Henk D. L. Hollmann, Erik Jan Marinissen, Bart Vermeulen Optimal Interconnect ATPG Under a Ground-Bounce Constraint. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF testing, interconnect, Hamming distance, wires, ground bounce
26Xiao Liu 0010, Michael S. Hsiao A Novel Transition Fault ATPG That Reduces Yield Loss. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Hardware I Computing Methodologies
26Srikanth Venkataraman, Srihari Sivaraj, M. Enamul Amyeen, Sangbong Lee, Ajay Ojha, Ruifeng Guo An Experimental Study of N-Detect Scan ATPG Patterns on a Processor. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Xiao Liu 0010, Michael S. Hsiao, Sreejit Chakravarty, Paul J. Thadikaran Efficient Transition Fault ATPG Algorithms Based on Stuck-At Test Vectors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF stuck-at vectors, delay testing, transition fault
26Mahesh A. Iyer Race: A Word-Level ATPG-Based Constraints Solver System For Smart Random Simulation. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Harald P. E. Vranken, Friedrich Hapke, Soenke Rogge, Domenico Chindamo, Erik H. Volkerink ATPG Padding And ATE Vector Repeat Per Port For Reducing Test Data Volume. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Armin Biere, Wolfgang Kunz SAT and ATPG: Boolean engines for formal hardware verification. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Zhigang Jiang, Sandeep K. Gupta 0001 An ATPG for Threshold Testing: Obtaining Acceptable Yield in Future Processes. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Andreas G. Veneris, Magdy S. Abadir, Mandana Amiri Design Rewiring Using ATPG. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Fatih Kocan, Daniel G. Saab ATPG for combinational circuits on configurable hardware. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Fulvio Corno, Gianluca Cumani, Matteo Sonza Reorda, Giovanni Squillero Effective Techniques for High-Level ATPG. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Fulvio Corno, Matteo Sonza Reorda, Giovanni Squillero RT-Level ITC'99 Benchmarks and First ATPG Results. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 939 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license