The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "IRPS"( http://dblp.L3S.de/Venues/IRPS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/irps

Publication years (Num. hits)
2015 (174) 2018 (172) 2019 (171) 2020 (183) 2021 (141) 2022 (174) 2023 (186)
Publication types (Num. hits)
inproceedings(1194) proceedings(7)
Venues (Conferences, Journals, ...)
IRPS(1201)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1201 publication records. Showing 1201 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Rishabh Kishore, Kavita Vishwakarma, Arnab Datta Effect of Non-identical Annealing on the Breakdown Characteristics of Sputtered IGZO Films. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Asifa Amin, Aarti Rathi, Sujit K. Singh, Abhisek Dixit, Oscar Huerta-Gonzalez, P. Srinivasan 0002, Fernando Guarin Deep Cryogenic Temperature TDDB in 45-nm PDSOI N-channel FETs for Quantum Computing Applications. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bhawani Shankar, Zhengliang Bian, Ke Zeng, Chuanzhe Meng, Rafael Perez Martinez, Srabanti Chowdhury, Brendan Gunning, Jack Flicker, Andrew Binder, Jeramy Ray Dickerson, Robert Kaplar Study of Avalanche Behavior in 3 kV GaN Vertical P-N Diode Under UIS Stress for Edge-termination Optimization. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Junjun Zhang, Fanyu Liu, Bo Li 0051, Yang Huang, Siyuan Chen, Yuchong Wang, Jiajun Luo, Jing Wan Single Event Induced Crosstalk of Monolithic 3D Circuits Based on a 22 nm FD-SOI Technology. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hiroshi Miki, M. Sagawa, Y. Mori, T. Murata, K. Kinoshita, K. Asaka, T. Oda Accurate screening of defective oxide on SiC using consecutive multiple threshold-voltage measurements. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nicholas J. Pieper, Yoni Xiong, Alexandra Feeley, Dennis R. Ball, Bharat L. Bhuva Single-Event Latchup Vulnerability at the 7-nm FinFET Node. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1R. L. Torrisi, Salvatore Adamo, Mario Santo Alessandrino, Cettina Bottari, Beatrice Carbone, M. Palmisciano, Elisa Vitanza Failure Analysis of AlGaN/GaN Power HEMTs through an innovative sample preparation approach. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nicola Modolo, Carlo De Santi, Andrea Minetto, Luca Sayadi, Sebastien Sicre, Gerhard Prechtl, Gaudenzio Meneghesso, Enrico Zanoni, Matteo Meneghini Modeling Hot-Electron Trapping in GaN-based HEMTs. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Peter M. Asbeck, Sravya Alluri, Narek Rostomyan, Jefy Alex Jayamon Reliability of CMOS-SOI power amplifiers for millimeter-wave 5G: the case for pMOS (Invited). Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lorenzo Benatti, Paolo Pavan, Francesco Maria Puglisi Combining Experiments and a Novel Small Signal Model to Investigate the Degradation Mechanisms in Ferroelectric Tunnel Junctions. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Wei-Chih Chien, Lynne M. Gignac, Y. C. Chou, C. H. Yang, N. Gong, H. Y. Ho, C. W. Yeh, H. Y. Cheng, W. Kim, I. T. Kuo, E. K. Lai, C. W. Cheng, L. Buzi, A. Ray, C. S. Hsu, Robert L. Bruce, Matthew BrightSky, H. L. Lung Endurance Evaluation on OTS-PCM Device using Constant Current Stress Scheme. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yaru Ding, Wei Liu, Yiming Qu, Liang Zhao, Yi Zhao Degradation Behaviors of 22 nm FDSOI CMOS Inverter Under Gigahertz AC Stress. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ned Cahoon, P. Srinivasan 0002, Fernando Guarin 6G Roadmap for Semiconductor Technologies: Challenges and Advances. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Artemisia Tsiara, Alicja Lesniewska, Philippe Roussel, Srinivasan Ashwyn Srinivasan, Mathias Berciano, Marko Simicic, Marianna Pantouvaki, Joris Van Campenhout, Kristof Croes Degradation mechanisms in Germanium Electro-Absorption Modulators. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Francesca Chiocchetta, Carlo De Santi, Fabiana Rampazzo, Kalparupa Mukherjee, Jan Grünenpütt, Daniel Sommer, Hervé Blanck, Benoit Lambert, A. Gerosa, Gaudenzio Meneghesso, Enrico Zanoni, Matteo Meneghini GaN RF HEMT Reliability: Impact of Device Processing on I-V Curve Stability and Current Collapse. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Andrea Padovani, Milan Pesic, Federico Nardi, Valerio Milo, Luca Larcher, Mondol Anik Kumar, Zunaid Baten Reliability of Non-Volatile Memory Devices for Neuromorphic Applications: A Modeling Perspective (Invited). Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Om Prakash 0007, Kai Ni 0004, Hussam Amrouch Ferroelectric FET Threshold Voltage Optimization for Reliable In-Memory Computing. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Navjeet Bagga, Kai Ni 0004, Nitanshu Chauhan, Om Prakash 0007, X. Sharon Hu, Hussam Amrouch Cleaved-Gate Ferroelectric FET for Reliable Multi-Level Cell Storage. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hyeokjae Lee, Sanggi Ko, Ho-Joon Suh, Gina Jeong, Jung-Han Yeo, Hye-Min Park, Hee-Kyeong Kim, Jong-Kwan Kim, Sung S. Chung, Youngboo Kim, Jisun Park, Hyungsoon Shin Progressive Degradation Without Physical Failure During Mounting Due to Soft Overstress in Compound HBT for RF, Mobile, and Automotive Applications. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Evelyn Landman, Alex Burlak, C. Nir Sever, Marc Hutner Applying Universal Chip Telemetry to Detect Latent Defects and Aging in Advanced Electronics. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lin Hou, Emmanuel Chery, Kristof Croes, Davide Tierno, Soon Aik Chew, Yangyin Chen, Peter Rakbin, Eric Beyne Reliability Investigation of W2W Hybrid Bonding Interface: Breakdown Voltage and Leakage Mechanism. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alexander Grill, V. John, Jakob Michl, A. Beckers, Erik Bury, Stanislav Tyaginov, Bertrand Parvais, Adrian Vaisman Chasin, Tibor Grasser, Michael Waltl, Ben Kaczer, Bogdan Govoreanu Temperature Dependent Mismatch and Variability in a Cryo-CMOS Array with 30k Transistors. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ayse Sünbül, Tarek Ali, Raik Hoffmann, Ricardo Revello, Yannick Raffel, Pardeep Duhan, David Lehninger, Kati Kühnel, Matthias Rudolph, Sebastian Oehler, Philipp Schramm, Malte Czernohorsky, Konrad Seidel, Thomas Kämpfe, Lukas M. Eng Impact of Temperature on Reliability of MFIS HZO-based Ferroelectric Tunnel Junctions. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Pablo Saraza-Canflanca, Héctor Carrasco-Lopez, Andrés Santana-Andreo, Javier Diaz-Fortuny, Rafael Castro-López, Elisenda Roca, Francisco V. Fernández 0001 A Smart SRAM-Cell Array for the Experimental Study of Variability Phenomena in CMOS Technologies. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Da Wang, Yong Liu, Pengpeng Ren, Longda Zhou, Zhigang Ji, Junhua Liu, Runsheng Wang, Ru Huang Characterization and Modelling of Hot Carrier Degradation in pFETs under Vd>Vg Condition for sub-20nm DRAM Technologies. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ping-Yi Hsieh, Artemisia Tsiara, Barry J. O'Sullivan, Didit Yudistira, Marina Baryshnikova, Guido Groeseneken, Bernardette Kunert, Marianna Pantouvaki, Joris Van Campenhout, Ingrid De Wolf Wafer-Level Aging of InGaAs/GaAs Nano-Ridge p-i-n Diodes Monolithically Integrated on Silicon. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Joseph P. Kozak, Qihao Song, Jingcun Liu, Ruizhe Zhang 0003, Qiang Li, Wataru Saito, Yuhao Zhang Accelerating the Recovery of p-Gate GaN HEMTs after Overvoltage Stresses. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Taras Ravsher, Andrea Fantini, Adrian Vaisman Chasin, Shamin H. Sharifi, Hubert Hody, Harold Dekkers, Thomas Witters, Jan Van Houdt, Valeri Afanas'ev, Sebastien Couet, Gouri Sankar Kar Degradation mechanism of amorphous IGZO-based bipolar metal-semiconductor-metal selectors. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Riccardo Mariani, Karl Greb Recent Advances and Trends on Automotive Safety : (invited). Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Christian Bogner, Tibor Grasser, Michael Waltl, Hans Reisinger, Christian Schlünder Efficient Evaluation of the Time-Dependent Threshold Voltage Distribution Due to NBTI Stress Using Transistor Arrays. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ethan S. Lee, Jungwoo Joh, Dong-Seup Lee, Jesús A. del Alamo Impact of Gate Offset on PBTI of p-GaN Gate HEMTs. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Houman Zahedmanesh, Ivan Ciofi, Odysseas Zografos, Kristof Croes, Mustafa Badaroglu System-Level Simulation of Electromigration in a 3 nm CMOS Power Delivery Network: The Effect of Grid Redundancy, Metallization Stack and Standard-Cell Currents. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Stephen A. Mancini, Seung Yup Jang, Zeyu Chen, Dongyoung Kim, Justin Lynch, Yafei Liu, Balaji Raghothamachar, Minseok Kang, Anant Agarwal, Nadeemullah Mahadik, Robert Stahlbush, Michael Dudley, Woongje Sung Static Performance and Reliability of 4H-SiC Diodes with P+ Regions Formed by Various Profiles and Temperatures. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1M. Hauser, P. Srinivasan 0002, A. Vallett, R. Krishnasamy, Fernando Guarin, Dave Brochu, V. Pham, Byoung Min Parasitic Drain Series Resistance Effects on Non-conducting Hot Carrier Reliability. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1C. H. Yang, P. S. Chien, Y. S. Cho, W. S. Hung A Realistic Modeling Approach To Explain the Physical Mechanism of TDDB For Automotive Grade-Zero Applications. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1K. Watanabe, T. Shimada, K. Hirose, H. Shindo, D. Kobayashi, Takaho Tanigawa, Shoji Ikeda, Takamitsu Shinada, Hiroki Koike, Tetsuo Endoh, T. Makino, Takeshi Ohshima Design and Heavy-Ion Testing of MTJ/CMOS Hybrid LSIs for Space-Grade Soft-Error Reliability. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Vamsi Putcha, Hao Yu, Jacopo Franco, Sachin Yadav, AliReza Alian, Uthayasankaran Peralagu, Bertrand Parvais, Nadine Collaert Interpretation and modelling of dynamic-RON kinetics in GaN-on-Si HEMTs for mm-wave applications. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1M. Monishmurali, Nagothu Karmel Kranthi, Gianluca Boselli, Mayank Shrivastava Effect of Source & Drain Side Abutting on the Low Current Filamentation in LDMOS-SCR Devices. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Amartya Ghosh, Osama O. Awadelkarim, Jifa Hao, Samia A. Suliman, Xinyu Wang Comparison of AC and DC BTI in SiC Power MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1P. Srinivasan 0002, Fernando Guarin, Enkhbayasgalan Gantsog, Harish Krishnaswamy, Arun Natarajan 0001 Excellent RF Product HTOL reliability of 5G mmWave beamformer chip fabricated using GF 45RFSOI technologies. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Taiki Uemura, Byungjin Chung, Jegon Kim, Hyewon Shim, Shin-Young Chung, Brandon Lee, Jaehee Choi, Shota Ohnishi, Ken Machida Thermal-Neutron SER Mitigation by Cobalt-Contact in 7 nm Bulk-FinFET Technology. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Chu Yan, Yaru Ding, Yiming Qu, Liang Zhao, Yi Zhao Universal Hot Carrier Degradation Model under DC and AC Stresses. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kuan-Ting Ho, Daniel Monteiro Diniz Reis, Karla Hiller Defect-controlled Resistance Degradation of Sputtered Lead Zirconate Titanate Thin Films. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lauriane Contamin, Mikaël Cassé, Xavier Garros, Fred Gaillard, Maud Vinet, Philippe Galy, André Juge, Emmanuel Vincent 0004, Silvano De Franceschi, Tristan Meunier Fast Measurement of BTI on 28nm Fully Depleted Silicon-On-Insulator MOSFETs at Cryogenic Temperature down to 4K. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shudong Huang, Srivatsan Parthasarathy, Yuanzhong Paul Zhou, Jean-Jacques Hajjar, Elyse Rosenbaum A High Voltage Tolerant Supply Clamp for ESD Protection in a 45-nm SOI Technology. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1K.-Y. Hsiang, C.-Y. Liao, Y.-Y. Lin, Z.-F. Lou, C.-Y. Lin, J.-Y. Lee, F.-S. Chang, Z.-X. Li, H.-C. Tseng, C.-C. Wang, W.-C. Ray, T.-H. Hou, T.-C. Chen, C.-S. Chang, Min-Hung Lee Correlation between Access Polarization and High Endurance (~ 1012 cycling) of Ferroelectric and Anti-Ferroelectric HfZrO2. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kathy Wei Yan, Po-Yao Lin, Sheng-Liang Kuo Thermal Challenges for HPC 3DFabricTM Packages and Systems. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tianfang Peng, Zheng You An Analytical Model of Transient Response of MEMS under High-G shock for Reliability Assessment. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1L. Fursin, P. Losee, Akin Akturk Investigation of Terrestrial Neutron Induced Failure Rates in Silicon Carbide JFET Based Cascode FETs. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Satyaki Ganguly, Kyle M. Bothe, Alexandre Niyonzima, Thomas Smith, Yueying Liu, Jeremy Fisher, Fabian Radulescu, Donald A. Gajewski, Scott T. Sheppard, Jim W. Milligan, Basim Noori, John W. Palmour DC and RF Reliability Assessment of 5G-MMW capable GaN HEMT Process (Invited). Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Vincent Huard, Francois Jacquet, Souhir Mhira, Lionel Jure, Olivier Montfort, Mathieu Louvat, L. Zaia, F. Bertrand, E. Acacia, O. Caffin, H. Belhadj, O. Durand, Nils Exibard, Vincent Bonnet, A. Charvier, Paolo Bernardi, Riccardo Cantoro Runtime Test Solution for Adaptive Aging Compensation and Fail Operational Safety mode. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ivana Kovacevic-Badstuebner, Salvatore Race, Thomas Ziemann, Shweta Tiwari, Ulrike Grossner, Elena Mengotti, Enea Bianda, Joni P. A. Jormanainen Power Cycling Reliability of SiC MOSFETs in Discrete and Module Packages. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Joycelyn Hai, Florian Cacho, A. Divay, Estelle Lauga-Larroze, Jean-Daniel Arnould, Jeremie Forest, Vincent Knopik, Xavier Garros Comprehensive Analysis of RF Hot-Carrier Reliability Sensitivity and Design Explorations for 28GHz Power Amplifier Applications. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bassel Ayoub, Stéphane Moreau, S. Lhostis, P. Lamontagne, H. Combeau, J. G. Mattei, Hélène Frémont New Method to Perform TDDB Tests for Hybrid Bonding Interconnects. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Himanshu Diwakar, Karansingh Thakor, Souvik Mahapatra Modeling Time and Bias Dependence of Classical HCD Mechanism (Peak ISUB Stress) in n-MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kwang Sing Yew, Ran Xing Ong, Hin Kiong Yap, Wanbing Yi, Jacquelyn Phang, R. Chockalingam, Juan Boon Tan Insights on Inter-metal Reliability Assessment of High Voltage Interconnects. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1P. C. Chang, P. J. Liao, D. W. Heh, C. Lee, D. H. Hou, Elia Ambrosi, C. H. Wu, H. Y. Lee, J. H. Lee, Xinyu Bao Investigation of First Fire Effect on VTH Stability and Endurance in GeCTe Selector. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1M. Millesimo, Benoit Bakeroot, Matteo Borga, Niels Posthuma, Stefaan Decoutere, Enrico Sangiorgi, Claudio Fiegna, Andrea Natale Tallarico Gate Reliability of p-GaN Power HEMTs Under Pulsed Stress Condition. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1M. H. Lin, C. I. Lin, Y. C. Wang, Aaron Wang Redundancy Effect on Electromigration Failure Time in Power Grid Networks. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sara Vecchi, Paolo Pavan, Francesco Maria Puglisi The Relevance of Trapped Charge for Leakage and Random Telegraph Noise Phenomena. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Masato Shiozaki, Takashi Sato Characteristic Degradation of Power MOSFETs by X-Ray Irradiation and Their Recovery. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Y. K. Chang, P. J. Liao, S. H. Yeong, Y.-M. Lin, J. H. Lee, C. T. Lin, Z. Yu, Wilman Tsai, Paul C. McIntyre The Field-dependence Endurance Model and Its Mutual Effect in Hf-based Ferroelectrics. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Simon Van Beek, Kaiming Cai, Siddharth Rao, Ganesh Jayakumar, Sebastien Couet, Nico Jossart, Adrian Vaisman Chasin, Gouri Sankar Kar MTJ degradation in SOT-MRAM by self-heating-induced diffusion. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1M. Hamid, K. O'Connell, J. Bielick, J. Bennett, E. Campbell, A. Alfoqaha Numerical Simulation and Characterization of PCB Warpage. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Salvatore Cimino, J. Singh, J. B. Johnson, W. Zheng, Y. Chen, W. Liu, P. Srinivasan 0002, O. Gonzales, M. Hauser, Matthew Koskinen, K. Nagahiro, Y. Liu, B. Min, Tanya Nigam, N. Squib Optimized LDMOS Offering for Power Management and RF Applications. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Javier Diaz-Fortuny, Pablo Saraza-Canflanca, Erik Bury, Michiel Vandemaele, Ben Kaczer, Robin Degraeve A Ring-Oscillator-Based Degradation Monitor Concept with Tamper Detection Capability. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Qihao Song, Joseph P. Kozak, Yunwei Ma, Jingcun Liu, Ruizhe Zhang 0003, Roman Volkov, Daniel Sherman, Kurt V. Smith, Wataru Saito, Yuhao Zhang GaN MIS-HEMTs in Repetitive Overvoltage Switching: Parametric Shift and Recovery. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Patrick Fiorenza, Corrado Bongiorno, Angelo Alberto Messina, Mario Saggio, Filippo Giannazzo, Fabrizio Roccaforte SiO2/4H-SiC interfacial chemistry as origin of the threshold voltage instability in power MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tidjani Garba-Seybou, Xavier Federspiel, Alain Bravaix, Florian Cacho New Modelling Off-state TDDB for 130nm to 28nm CMOS nodes. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Y. H. Liu, Y. S. Yang, T. C. Zhan, M. Hu, Z. J. Liu, W. Lin, A. C. Liu, Y. C. Hsu An Abnormal Negative Temperature Dependence of Erasestate Vt Retention Shift in 3-D NAND Flash Memories. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tadeu Mota Frutuoso, Xavier Garros, Jose Lugo-Alvarez, Roméo Kom Kammeugne, L. D. M. Zouknak, Abygaël Viey, W. van den Daele, Philippe Ferrari, Fred Gaillard Ultra-fast CV methods (< 10µs) for interface trap spectroscopy and BTI reliability characterization using MOS capacitors. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Davide Favero, Carlo De Santi, Kalparupa Mukherjee, Karen Geens, Matteo Borga, Benoit Bakeroot, Shuzhen You, Stefaan Decoutere, Gaudenzio Meneghesso, Enrico Zanoni, Matteo Meneghini Influence of Drain and Gate Potential on Gate Failure in Semi-Vertical GaN-on-Si Trench MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nicola Lepri, Artem Glukhov, Daniele Ielmini Mitigating read-program variation and IR drop by circuit architecture in RRAM-based neural network accelerators. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ravi Achanta, V. McGahay, S. Boffoli, C. Kothandaraman, J. Gambino High-k MIM dielectric reliability study in 65nm node. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kai Ni 0004, Om Prakash 0007, Simon Thomann, Zijian Zhao, Shan Deng, Hussam Amrouch Suppressing Channel Percolation in Ferroelectric FET for Reliable Neuromorphic Applications. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1D. Nminibapiel, K. Joshi, R. Ramamurthy, L. Pantisano, Inanc Meric, Stephen Ramey Method to evaluate off-state breakdown in scaled Tri-gate technologies. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tahmida Islam, Junkyu Kim, Chris H. Kim, David Tipple, Michael Nelson, Robert Jin, Anis Jarrar A Calibration-Free Synthesizable Odometer Featuring Automatic Frequency Dead Zone Escape and Start-up Glitch Removal. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Andreas Martin 0002 Plasma processing induced charging damage (PID) assessment with appropriate fWLR stress methods ensuring expected MOS reliability and lifetimes for automotive products (Invited). Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Zhan Gao, Francesca Chiocchetta, Carlo De Santi, Nicola Modolo, Fabiana Rampazzo, Matteo Meneghini, Gaudenzio Meneghesso, Enrico Zanoni, Hervé Blanck, H. Stieglauer, D. Sommer, Benoit Lambert, Jan Grünenpütt, O. Kordina, J.-T. Chen, J.-C. Jacquet, Cedric Lacam, S. Piotrowicz Deep level effects and degradation of 0.15 μm RF AlGaN/GaN HEMTs with Mono-layer and Bi-layer AlGaN backbarrier. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mario Santo Alessandrino, Beatrice Carbone, Francesco Cordiano, Bruna Mazza, Alfio Russo, W. Coco, Massimo Boscaglia, A. Di Salvo, A. Lombardo, D. Scarcella, Elisa Vitanza, Patrick Fiorenza Failure analysis addressing method of optically undetected defectivity on 4H-SiC PowerMOSFET epitaxial layer. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Majed Valad Beigi, Sudhanva Gurumurthi, Vilas Sridharan Reliability, Availability, and Serviceability Challenges for Heterogeneous System Design. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Stefan Saroiu, Alec Wolman, Lucian Cojocar The Price of Secrecy: How Hiding Internal DRAM Topologies Hurts Rowhammer Defenses. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Masaharu Kobayashi Monolithic 3D Integration of Oxide Semiconductor FETs and Memory Devices for AI Acceleration (Invited). Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nam-Hyun Lee, S. Lee, S.-H. Kim, G.-J. Kim, K. W. Lee, Y. S. Lee, Y. C. Hwang, H. S. Kim, S. Pae Transistor Reliability Characterization for Advanced DRAM with HK+MG & EUV process technology. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Huimei Zhou, Miaomiao Wang 0006, Ruqiang Bao, Curtis Durfee, Liqiao Qin, Jingyun Zhang SiGe Gate-All-around Nanosheet Reliability. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fabrizio Masin, Carlo De Santi, Arno Stockman, J. Lettens, F. Geenen, Gaudenzio Meneghesso, Enrico Zanoni, Peter Moens, Matteo Meneghini Analysis and Modeling of Vth Shift in 4H-SiC MOSFETs at Room and Cryogenic-Temperature. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yong Liu, Pengpeng Ren, Da Wang, Longda Zhou, Zhigang Ji, Junhua Liu, Runsheng Wang, Ru Huang New Insight into the Aging Induced Retention Time Degraded of Advanced DRAM Technology. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Taiki Uemura, Byungjin Chung, Jegon Kim, Hyewon Shim, Shin-Young Chung, Brandon Lee, Jaehee Choi, Shota Ohnishi, Ken Machida Accelerator-Based Thermal-Neutron Beam by Compact and Low-Cost Moderator for Soft-Error Evaluation in Semiconductor Devices. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1O. Varela Pedreira, Melina Lofrano, Houman Zahedmanesh, Philippe J. Roussel, Marleen H. van der Veen, Veerle Simons, Emmanuel Chery, Ivan Ciofi, Kris Croes Assessment of critical Co electromigration parameters. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Artem Glukhov, Valerio Milo, Andrea Baroni, Nicola Lepri, Cristian Zambelli, Piero Olivo, Eduardo Pérez, Christian Wenger, Daniele Ielmini Statistical model of program/verify algorithms in resistive-switching memories for in-memory neural network accelerators. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Brecht Truijen, Barry J. O'Sullivan, Md. Nurul Alam, Dieter Claes, M. Thesberg, Philippe Roussel, Adrian Vaisman Chasin, Geert Van den Bosch, Ben Kaczer, Jan Van Houdt Trap-polarization interaction during low-field trap characterization on hafnia-based ferroelectric gatestacks. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yutaka Terao, Takuji Hosoi, Takuma Kobayashi, Takayoshi Shimura, Heiji Watanabe Characterization of Electron Traps in Gate Oxide of m-plane SiC MOS Capacitors. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jian Meng, Injune Yeo, Wonbo Shim, Li Yang 0009, Deliang Fan, Shimeng Yu, Jae-Sun Seo Sparse and Robust RRAM-based Efficient In-memory Computing for DNN Inference. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1William Harris, Allen Gu, Masako Terada Putting AI to Work: A Practical and Simple Application to Improve 3D X-ray FA. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Milan Pesic, Bastien Beltrando, Andrea Padovani, Toshihiko Miyashita, Nam-Sung Kim, Luca Larcher Electron-assisted switching in FeFETs: Memory window dynamics - retention - trapping mechanisms and correlation. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Pengpeng Ren, Xinfa Zhang, Junhua Liu, Runsheng Wang, Zhigang Ji, Ru Huang Towards the Characterization of Full ID-VG Degradation in Transistors for Future Analog Applications. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bikram Kishore Mahajan, Yen-Pu Chen, Ulisses Alberto Heredia Rivera, Rahim Rahimi, Muhammad Ashraful Alam Correlated Effects of Radiation and Hot Carrier Degradation on the Performance of LDMOS Transistors. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yinghong Zhao, Ki-Don Lee, Manisha Sharma, Joonah Yoon, Rakesh Ranjan, Md Iqbal Mahmud, Caleb Dongkyan Kwon, Myungsoo Yeo Polarity Dependence and Metal Density Impact on Multi-Layer Inter-Level TDDB for High Voltage Application. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sourabh Khandelwal, D. Bavi ASM-ESD - A comprehensive physics-based compact model for ESD Diodes. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yoni Xiong, Alexandra Feeley, Nicholas J. Pieper, Dennis R. Ball, Balaji Narasimham, John Brockman, N. A. Dodds, S. A. Wender, Shi-Jie Wen, Rita Fung, Bharat L. Bhuva Soft Error Characterization of D-FFs at the 5-nm Bulk FinFET Technology for the Terrestrial Environment. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1201 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license