The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for yield with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958-1969 (17) 1970-1976 (17) 1977-1981 (16) 1982-1984 (19) 1985-1986 (38) 1987 (22) 1988 (35) 1989 (53) 1990 (59) 1991 (39) 1992 (43) 1993 (72) 1994 (60) 1995 (88) 1996 (94) 1997 (118) 1998 (123) 1999 (173) 2000 (222) 2001 (236) 2002 (305) 2003 (348) 2004 (490) 2005 (595) 2006 (754) 2007 (815) 2008 (797) 2009 (499) 2010 (185) 2011 (123) 2012 (117) 2013 (95) 2014 (117) 2015 (128) 2016 (130) 2017 (132) 2018 (148) 2019 (171) 2020 (244) 2021 (235) 2022 (264) 2023 (286) 2024 (64)
Publication types (Num. hits)
article(3127) book(2) data(1) incollection(27) inproceedings(5401) phdthesis(28)
Venues (Conferences, Journals, ...)
Remote. Sens.(274) IEEE Trans. Comput. Aided Des....(196) Comput. Electron. Agric.(167) CoRR(146) DAC(141) ISQED(139) DFT(114) IGARSS(113) ITC(112) DATE(101) ICCAD(101) IEEE Trans. Very Large Scale I...(99) ASP-DAC(77) VTS(76) IEEE Trans. Image Process.(65) Sensors(63) More (+10 of total 1832)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6138 occurrences of 3479 keywords

Results
Found 8586 publication records. Showing 8586 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Sanae Seike, Ken Namura, Yukio Ohya, Anis Uzzaman, Shinichi Arima, Dale Meehl, Vivek Chickermane, Azumi Kobayashi, Satoshi Tanaka, Hiroyuki Adachi Early Life Cycle Yield Learning for Nanometer Devices Using Volume Yield Diagnostics Analysis. Search on Bibsonomy ATS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Nicola Campregher, Peter Y. K. Cheung, George A. Constantinides, Milan Vasilko Yield modelling and Yield Enhancement for FPGAs using Fault Tolerance Schemes. Search on Bibsonomy FPL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Martin Bachmeier Optimising the Selected Neighbourhood for Yield Mapping by Comparing Plot and Monitor Yield Values. Search on Bibsonomy GI Jahrestagung (1) The full citation details ... 2005 DBLP  BibTeX  RDF
22Burak Kazaz Production Planning Under Yield and Demand Uncertainty with Yield-Dependent Cost and Price. Search on Bibsonomy Manuf. Serv. Oper. Manag. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Peter Feldmann, Stephen W. Director Accurate and Efficient Evaluation of Circuit Yield and Yield Gradients. Search on Bibsonomy ICCAD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Matthias Wesseling, Ulrich Ramacher, Karl Goser Evaluation and Comparison of Selected WSI Reconfiguration Architectures in Terms of Yield and Yield per Area. Search on Bibsonomy Fehlertolerierende Rechensysteme The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
22G. F. Griffin, G. N. Bastin Hand-held computer program for field-capture and analysis of herbage yield and composition data using a modified dry-weight-rank and yield estimate method. Search on Bibsonomy Comput. Appl. Biosci. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
22Jim C. Harden Comments on "Sources of failures and yield improvement for VLSI and restructurable interconnects for RVLSI and WSI: Part I - Sources of failures and yield improvement for VLSI". Search on Bibsonomy Proc. IEEE The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
22Dale E. Hocevar, Michael R. Lightner, Timothy N. Trick An Extrapolated Yield Approximation Technique for Use in Yield Maximization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
21Sheng-Hung Wang, Ching-Yi Chen, Cheng-Wen Wu Fast identification of operating current for toggle MRAM by spiral search. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reliability, testing, BIST, characterization, yield enhancement, MRAM
21Paul Zuber, Petr Dobrovolný, Miguel Miranda A holistic approach for statistical SRAM analysis. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF statistical SRAM analysis, process variability, yield prediction
21Mark Redford, Joseph Sawicki, Prasad Subramaniam, Cliff Hou, Yervant Zorian, Kimon Michaels DFM: don't care or competitive weapon? Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RDR, physical verification, sign-off, yield, DFM, design-for-manufacturing, design rules, manufacturing variability, DRC
21Philipp V. Panitz, Markus Olbrich, Erich Barke, Markus Bühler, Jürgen Koehl Considering possible opens in non-tree topology wire delay calculation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF non-tree topologies, yield, static timing analysis, delay analysis
21Niladri Narayan Mojumder, Saibal Mukhopadhyay, Jae-Joon Kim, Ching-Te Chuang, Kaushik Roy 0001 Design and Analysis of a Self-Repairing SRAM with On-Chip Monitor and Compensation Circuitry. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Design, yield, failure, SRAM, variation
21Juan C. Rey, N. S. Nagaraj, Andrew B. Kahng, Fabian Klass, Rob Aitken, Cliff Hou, Luigi Capodieci, Vivek Singh DFM in practice: hit or hype? Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF critical area analysis, CMP, yield, DFM, OPC, lithography
21Rei-Fu Huang, Chao-Hsun Chen, Cheng-Wen Wu Economic Aspects of Memory Built-in Self-Repair. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF BIRA, BIST, yield, overhead, economic models, BISR, redundancy analysis, built-in self-repair
21Payman Zarkesh-Ha, Ken Doniger Stochastic interconnect layout sensitivity model. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF critical area analysis, layout sensitivity, reliability, stochastic model, yield, design for manufacturability, defect density
21Shuping Wang, Jianping Li 0001, Shulin Zhang An Analysis About Market Efficiency in International Petroleum Markets: Evidence from Three Oil Commodities. Search on Bibsonomy International Conference on Computational Science (3) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF International petroleum markets, Futures price spot price, Risk premium, Convenience yield, Cointegration analysis, Market efficiency
21C. Tabery, M. Craig, Gert Burbach, B. Wagner, S. McGowan, P. Etter, S. Roling, C. Haidinyak, E. Ehrichs Process Window and Device Variations Evaluation using Array-Based Characterization Circuits. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF transistor array, transistor matching, via yield, DOE ROM, novel test circuits, DFM
21 Conference Reports. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ETS 05, European Test Workshop, Eastern Europe, NATW 05, outlier screening, analog test, TTTC, embedded test, defect-based test, yield management
21Antonis Papanikolaou, F. Lobmaier, Hua Wang, Miguel Miranda, Francky Catthoor A system-level methodology for fully compensating process variability impact of memory organizations in periodic applications. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF system-level compensation, process variability, parametric yield
21Amit Agarwal 0001, Kunhyuk Kang, Swarup Bhunia, James D. Gallagher, Kaushik Roy 0001 Effectiveness of low power dual-Vt designs in nano-scale technologies under process parameter variations. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF process variation, yield, leakage, dual-Vt, metal gate
21André K. Nieuwland, Richard P. Kleihorst IC Cost Reduction by Applying Embedded Fault Tolerance for Soft Errors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF IC cost, IC production, fault tolerant, redundancy, yield, soft error, on-line test, defect density, SEU, SER, BISR
21Songqing Zhang, Vineet Wason, Kaustav Banerjee A probabilistic framework to estimate full-chips subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF die-to-die variations, electrothermal couplings, subthreshold leakage power distribution, yield estimation, process variations, within-die variations
21Minsu Choi, Nohpill Park, Fabrizio Lombardi, Yong-Bin Kim, Vincenzo Piuri Optimal Spare Utilization in Repairable and Reliable Memory Cores. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Embedded Memory Repair and Reliability, Fault-Tolerant Memory Core, System-on-chip, Yield, Built-In-Self-Repair
21Puneet Gupta 0001, Andrew B. Kahng, Dennis Sylvester, Jie Yang 0010 A cost-driven lithographic correction methodology based on off-the-shelf sizing tools. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VLSI manufacturability, yield, OPC, lithography, RET
21Phillip Christie, José Pineda de Gyvez Pre-layout prediction of interconnect manufacturability. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF design, reliability, interconnect, theory, yield, Rent's rule, critical areas
21Tadayoshi Horita, Itsuo Takanami Fault-Tolerant Processor Arrays Based on the 1½-Track Switches with Flexible Spare Distributions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF The 1$frac{1}{2}$-track switch model, reconfiguration, yield enhancement, wafer scale integration, mesh-connected processor arrays
21Frederic Duvivier Automatic Detection of Spatial Signature on Wafermaps in a High Volume Production. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF wafermap, spatial signature, automated data collection, yield
21Tadayoshi Horita, Itsuo Takanami Fault Tolerant Processor Arrays Based on 1 1/2-Track Switch with Generalized Spare Distributions. Search on Bibsonomy ISPAN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF The 1 1/2-track switch model, wefer scale integration, reconfiguration, yield enhancement, mesh-connected processor arrays
21Wojciech Maly, Pranab K. Nag, Hans T. Heineken, Jitendra Khare Design-Manufacturing Interface: Part I - Vision. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF design, synthesis, manufacturability, yield, design rules
21Anil Gandhi, Stacy Hall, Ron Harris An examination of empirically derived within-die local probabilities of failure. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF empirically derived within-die local probabilities, circuit densities, yield sensitivity, in-line defect inspection equipment, back-end sort data, model free approach, defect review sampling, failure, failure analysis
21Chen-Yang Pan, Kwang-Ting Cheng Implicit functional testing for analog circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF implicit functional testing, linear time-invariant circuits, impulse response samples, pseudo-random technique, production testing time, yield coverages, VLSI, integrated circuit testing, fault coverage, analog circuits, analogue integrated circuits, mixed analogue-digital integrated circuits, transient response
20Aashish Pant, Puneet Gupta 0001, Mihaela van der Schaar Software adaptation in quality sensitive applications to deal with hardware variability. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hardware software interface, adaptation, variability
20Cheng-Kok Koh, Weng-Fai Wong, Yiran Chen 0001, Hai Li 0001 Tolerating process variations in large, set-associative caches: The buddy cache. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF caches, Processor architectures, fault recovery, memory structures
20Feng Wang 0004, Xiaoxia Wu, Yuan Xie 0001 Variability-driven module selection with joint design time optimization and post-silicon tuning. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Animesh Datta, Swarup Bhunia, Saibal Mukhopadhyay, Kaushik Roy 0001 Delay Modeling and Statistical Design of Pipelined Circuit Under Process Variation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Raul Camposano Adding Manufacturability to the Quality of Results. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Ajay Khoche, Peter Muhmenthaler Session Abstract. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Rouwaida Kanj, Rajiv V. Joshi, Sani R. Nassif Statistical leakage modeling for accurate yield analysis: the CDF matching method and its alternatives. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF sram
19Somnath Paul, Swarup Bhunia VAIL: variation-aware issue logic and performance binning for processor yield and profit improvement. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF narrow-width operand, superscalar processor, within-die variation
19Lavanya Jagan, Ratan Deep Singh, V. Kamakoti 0001, Ananta K. Majhi Efficient Grouping of Fail Chips for Volume Yield Diagnostics. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Lin Xie, Azadeh Davoodi Robust Estimation of Timing Yield With Partial Statistical Information on Process Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Khaled R. Heloue, Farid N. Najm Early Analysis and Budgeting of Margins and Corners Using Two-Sided Analytical Yield Models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Sawal Ali, Reuben Wilcock, Peter R. Wilson, Andrew D. Brown A New Approach for Combining Yield and Performance in Behavioural Models for Analogue Integrated Circuits. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Georges G. E. Gielen, Pieter De Wit, Elie Maricau, Johan Loeckx, Javier Martín-Martínez, Ben Kaczer, Guido Groeseneken, Rosana Rodríguez, Montserrat Nafría Emerging Yield and Reliability Challenges in Nanometer CMOS Technologies. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Jinjun Xiong, Vladimir Zolotov, Chandu Visweswariah Incremental Criticality and Yield Gradients. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Chenjie Gu, Jaijeet S. Roychowdhury An efficient, fully nonlinear, variability-aware non-monte-carlo yield estimation procedure with applications to SRAM cells and ring oscillators. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Baker Mohammad, Stephen Bijansky, Adnan Aziz, Jacob A. Abraham Adaptive SRAM memory for low power and high yield. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Murari Mani, Anirudh Devgan, Michael Orshansky, Yaping Zhan A Statistical Algorithm for Power- and Timing-Limited Parametric Yield Optimization of Large Integrated Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Katherine Shu-Min Li, Yao-Wen Chang, Chung-Len Lee 0001, Chauchin Su, Jwu E. Chen Multilevel Full-Chip Routing With Testability and Yield Enhancement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Ming-e Jing, Yue Hao, Dian Zhou, Xuan Zeng 0001 A Novel Optimization Method for Parametric Yield: Uniform Design Mapping Distance Algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Chin-Hsiung Hsu, Szu-Jui Chou, Jie-Hong Roland Jiang, Yao-Wen Chang A Statistical Approach to the Timing-Yield Optimization of Pipeline Circuits. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Ruiming Chen, Hai Zhou 0001 Fast Buffer Insertion for Yield Optimization Under Process Variations. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Yu Pu, José de Jesus Pineda de Gyvez, Henk Corporaal, Yajun Ha Vt balancing and device sizing towards high yield of sub-threshold static logic gates. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF variability, sub-threshold
19Somnath Paul, Swarup Bhunia Memory based computation using embedded cache for processor yield and reliability improvement. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Somnath Paul, Rajat Subhra Chakraborty, Swarup Bhunia Defect-Aware Configurable Computing in Nanoscale Crossbar for Improved Yield. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Daniel Mazor, Michael L. Bushnell, David J. Mulligan, Richard J. Blaikie Fault Models and Device Yield of a Large Population of Room Temperature Operation Single-Electron Transistors. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Maryam Ashouei, Muhammad Mudassar Nisar, Abhijit Chatterjee, Adit D. Singh, Abdulkadir Utku Diril Probabilistic Self-Adaptation of Nanoscale CMOS Circuits: Yield Maximization under Increased Intra-Die Variations. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Debjit Sinha, Narendra V. Shenoy, Hai Zhou 0001 Statistical Timing Yield Optimization by Gate Sizing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Thomas S. Barnett, Matt Grady, Kathleen G. Purdy, Adit D. Singh Combining Negative Binomial and Weibull Distributions for Yield and Reliability Prediction. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fault tolerance, reliability, testing
19Ting Li 0022, Eric van Heck, Peter Vervest Dynamic Pricing Strategies for Yield Improvement with Smart Card Adoption in the Dutch Travel Industry. Search on Bibsonomy ENTER The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Kuang-Yao Lee, Ting-Chi Wang Post-routing redundant via insertion for yield/reliability improvement. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Kazutoshi Kobayashi, Manabu Kotani, Kazuya Katsuki, Y. Takatsukasa, K. Ogata, Yuuri Sugihara, Hidetoshi Onodera A Yield and Speed Enhancement Technique Using Reconfigurable Devices Against Within-Die Variations on the Nanometer Regime. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Yufa Xu, Guochu Chen, Jinshou Yu The Kalman Particle Swarm Optimization Algorithm and Its Application in Soft-Sensor of Acrylonitrile Yield. Search on Bibsonomy ICNC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Alberto Fazzi, Luca Magagni, Mario de Dominicis, Paolo Zoffoli, Roberto Canegallo, Pier Luigi Rolandi, Alberto L. Sangiovanni-Vincentelli, Roberto Guerrieri Yield prediction for 3D capacitive interconnections. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Wei-Lun Hung, Xiaoxia Wu, Yuan Xie 0001 Guaranteeing performance yield in high-level synthesis. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Lukasz Zielinski, Bartlomiej Puchalski, Jerzy Rutkowski Yield enhancement by means of evolutionary computation techniques. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jeanne Bickford, Jason Hibbeler, Markus Bühler, Jürgen Koehl, Dirk Müller 0003, Sven Peyer, Christian Schulte 0002 Yield Improvement by Local Wiring Redundancy. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Arnaud Epinat, N. Vijayaraghavan, Matthieu Sautier, Olivier Callen, Sebastien Fabre, Ryan Ross, Paul Simon, Robin Wilson Yield Enhancement Methodology for CMOS Standard Cells. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Takumi Uezono, Kenichi Okada, Kazuya Masu Via Distribution Model for Yield Estimation. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Rasit Onur Topaloglu Early, Accurate and Fast Yield Estimation through Monte Carlo-Alternative Probabilistic Behavioral Analog System Simulations. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Mauro Olivieri, Giuseppe Scotti, Alessandro Trifiletti A novel yield optimization technique for digital CMOS circuits design by means of process parameters run-time estimation and body bias active control. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Rajeev R. Rao, David T. Blaauw, Dennis Sylvester, Anirudh Devgan Modeling and Analysis of Parametric Yield under Power and Performance Constraints. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF G.4.g Reliability and robustness, B.7 Integrated Circuits, Fault-Tolerance
19Fei Su, Krishnendu Chakrabarty, Vamsee K. Pamula Yield Enhancement of Digital Microfluidics-Based Biochips Using Space Redundancy and Local Reconfiguration. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Gyu-Sik Han, Daewon Lee, Jaewook Lee 0001 Estimating the Yield Curve Using Calibrated Radial Basis Function Networks. Search on Bibsonomy ISNN (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Gang Liu, Xuehong Yang, Minzan Li An Artificial Neural Network Model for Crop Yield Responding to Soil Parameters. Search on Bibsonomy ISNN (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Andrew B. Kahng, Bao Liu 0001, Ion I. Mandoiu Nontree routing for reliability and yield improvement [IC layout]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Naran Sirisantana, Bipul Chandra Paul, Kaushik Roy 0001 Enhancing Yield at the End of the Technology Roadmap. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Davide Appello, Alessandra Fudoli, Katia Giarda, Vincenzo Tancorre, Emil Gizdarski, Ben Mathew Understanding Yield Losses in Logic Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Amit Agarwal 0001, Bipul Chandra Paul, Kaushik Roy 0001 A Novel Fault Tolerant Cache to Improve Yield in Nanometer Technologies. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Christian Gotzel, Karl Inderfurth Approximate Policies for Hybrid Production and Rework Systems with Stochastic Demand and Yield. Search on Bibsonomy OR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Phil Nigh Redefining ATE: "Data Collection Engines that Drive Yield Learning and Process Optimization". Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Jitendra Khare Memory Yield Improvement - SoC Design Perspective. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Seung Hoon Choi, Bipul Chandra Paul, Kaushik Roy 0001 Novel sizing algorithm for yield improvement under process variation in nanometer technology. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Performance, Design, Algorithms, Reliability
19Thomas S. Barnett, Adit D. Singh, Victor P. Nelson Extending integrated-circuit yield-models to estimate early-life reliability. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Yervant Zorian, Samvel K. Shoukourian Embedded-Memory Test and Repair: Infrastructure IP for SoC Yield. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Doru P. Munteanu, Víctor Suñé, Rosa Rodríguez-Montañés, Juan A. Carrasco A Combinatorial Method for the Evaluation of Yield of Fault-Tolerant Systems-on-Chip. Search on Bibsonomy DSN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Kumaraswamy Ponnambalam, Abbas Seifi, Jirí Vlach Yield optimization with correlated design parameters and non-symmetrical marginal distributions. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19L. Forli, Jean-Michel Portal, Didier Née, Bertrand Borot Infrastructure IP for Back-End Yield Improvement. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Thomas S. Barnett, Adit D. Singh Relating Yield Models to Burn-In Fall-Out in Time. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Andrew B. Kahng, Bao Liu 0001, Ion I. Mandoiu Non-tree routing for reliability and yield improvement. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Yonghua Cong, Randall L. Geiger Formulation of INL and DNL yield estimation in current-steering D/A converters. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Giovanni Spagnuolo An interval arithmetic-based yield evaluation in circuit tolerance design. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Yu Lin, Randall L. Geiger Resistors layout for enhancing yield of R-2R DACs. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Thomas S. Barnett, Adit D. Singh, Matt Grady, Kathleen G. Purdy Yield-Reliability Modeling: Experimental Verification and Application to Burn-In Reduction. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Zhigang Jiang, Sandeep K. Gupta 0001 An ATPG for Threshold Testing: Obtaining Acceptable Yield in Future Processes. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Yervant Zorian Embedded Memory Test and Repair: Infrastructure IP for SOC Yield. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Mark Craig, Alvin Jee, Prashant Maniar An Integrated Approach to Yield Loss Characterization. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Junichi Hirase Yield Increase of VLSI after Redundancy-Repairing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 8586 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license