|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 18369 occurrences of 5291 keywords
|
|
|
Results
Found 50014 publication records. Showing 49999 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
20 | Jau-Hsiung Huang, Leonard Kleinrock |
Performance Evaluation of Dynamic Sharing of Processors in Two-Stage Parallel Processing Systems. |
IEEE Trans. Parallel Distributed Syst. |
1993 |
DBLP DOI BibTeX RDF |
dynamic sharing of processors, two-stage parallel processingsystems, mean system delay, mean system time, scale-up rule, approximated delay performance, scheduling, performance evaluation, performance evaluation, parallel processing, delays, job scheduling, approximation model |
20 | Kazuaki Tanaka, Motoyuki Ozeki, Natsuki Oka |
The hesitation of a robot: a delay in its motion increases learning efficiency and impresses humans as teachable. |
HRI |
2010 |
DBLP DOI BibTeX RDF |
hesitation, learning efficiency, teachability, delay |
20 | Konstantin Moiseev, Avinoam Kolodny, Shmuel Wimer |
Power-delay optimization in VLSI microprocessors by wire spacing. |
ACM Trans. Design Autom. Electr. Syst. |
2009 |
DBLP DOI BibTeX RDF |
Wire spacing, power optimization, interconnect optimization, delay-optimization |
20 | Lisheng Wei, Ming Jiang 0013, Minrui Fei |
Robust Stability of Multi-variable Networked Control Systems with Random Time Delay. |
WISM |
2009 |
DBLP DOI BibTeX RDF |
Networked Control Systems(NCSs), Free-Weighting Matrix, Delay, Robust Stability, LMI |
20 | Joachim Fabini, Wolfgang Karner, Lukas Wallentin, Thomas Baumgartner |
The Illusion of Being Deterministic - Application-Level Considerations on Delay in 3G HSPA Networks. |
Networking |
2009 |
DBLP DOI BibTeX RDF |
HSPA, Measurements, User Experience, 3G, One-way Delay |
20 | Despina Triantafyllidou, Khaldoun Al Agha |
The impact of path-delay routing on TCP in ad hoc networks. |
IWCMC |
2009 |
DBLP DOI BibTeX RDF |
delay routing, route oscillations, MANET, TCP, OLSR |
20 | María José Morón, Rafael Luque, Eduardo Casilari, Antonio Díaz Estrella |
An analytical model for estimating the delay in Bluetooth communications with serial port profile. |
IWCMC |
2009 |
DBLP DOI BibTeX RDF |
serial port profile, bluetooth, transmission delay |
20 | Yen-Hung Lin, Shu-Hsin Chang, Yih-Lang Li |
Critical-trunk based obstacle-avoiding rectilinear steiner tree routings for delay and slack optimization. |
ISPD |
2009 |
DBLP DOI BibTeX RDF |
elmore delay model, obstacle-avoiding rectilinear steiner tree, performance-driven routing, worst negative slack, timing constraint |
20 | Feipeng Da |
Fuzzy neural network sliding mode control for long delay time systems based on fuzzy prediction. |
Neural Comput. Appl. |
2008 |
DBLP DOI BibTeX RDF |
Long delay time, Fuzzy prediction, Process control, Fuzzy neural network, Sliding mode |
20 | Aleksandra Smiljanic |
Rate and delay guarantees provided by Clos packet switches with load balancing. |
IEEE/ACM Trans. Netw. |
2008 |
DBLP DOI BibTeX RDF |
scalability, performance analysis, packet switches, non-blocking, internet routers, delay guarantees |
20 | Omesh Tickoo, Biplab Sikdar 0001 |
Modeling queueing and channel access delay in unsaturated IEEE 802.11 random access MAC based wireless networks. |
IEEE/ACM Trans. Netw. |
2008 |
DBLP DOI BibTeX RDF |
IEEE 802.11, queueing analysis, delay modeling |
20 | Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, Kaushik Roy 0001 |
Arbitrary Two-Pattern Delay Testing Using a Low-Overhead Supply Gating Technique. |
J. Electron. Test. |
2008 |
DBLP DOI BibTeX RDF |
Enhanced scan, Supply gating, Delay fault testing, Two-pattern testing |
20 | Hong Li, Lorne Mason, Michael G. Rabbat |
Learning Minimum Delay Paths in Service Overlay Networks. |
NCA |
2008 |
DBLP DOI BibTeX RDF |
distributed minimum delay routing, Learning automata |
20 | Tianle Zhang, Zongwei Luo, Edward C. Wong, C. J. Tan, Feng Zhou |
Mobile Intelligence for Delay Tolerant Logistics and Supply Chain Management. |
SUTC |
2008 |
DBLP DOI BibTeX RDF |
Mobile Intelligence, Mobile Relay, Logistics and Supply Chain Management, Delay Tolerance |
20 | Dieter Claeys, Koenraad Laevens, Joris Walraevens, Herwig Bruneel |
Delay in a Discrete-Time Queueing Model with Batch Arrivals and Batch Services. |
ITNG |
2008 |
DBLP DOI BibTeX RDF |
batch service, residue theory, delay, batch arrivals |
20 | Yang Chen, Kazuyuki Aihara |
New Results on Criteria for Choosing Delay in Strange Attractor Reconstruction. |
ICIC (1) |
2008 |
DBLP DOI BibTeX RDF |
Delay-coordinate, Mutual information, Chaos, Quality factor |
20 | Stephan Eggersglüß, Rolf Drechsler |
On the Influence of Boolean Encodings in SAT-Based ATPG for Path Delay Faults. |
ISMVL |
2008 |
DBLP DOI BibTeX RDF |
Boolean Encodings, ATPG, SAT, Path Delay Faults |
20 | Manuel Sellier, Jean-Michel Portal, Bertrand Borot, Steve Colquhoun, Richard Ferrant, Frédéric Boeuf, Alexis Farcy |
Predictive Delay Evaluation on Emerging CMOS Technologies: A Simulation Framework. |
ISQED |
2008 |
DBLP DOI BibTeX RDF |
Predictive SPICE Modeling, Interconnect Resistance, Buffer Insertion, Interconnect Delay |
20 | Xin Wang, Alireza Kasnavi, Harold Levy |
An Efficient Method for Fast Delay and SI Calculation Using Current Source Models. |
ISQED |
2008 |
DBLP DOI BibTeX RDF |
Delay calculation, gate-level analysis, transient simulation, SI |
20 | André Berger, Ralf Hoffmann, Ulf Lorenz, Sebastian Stiller |
TOPSU - RDM a simulation platform for online railway delay management. |
SimuTools |
2008 |
DBLP DOI BibTeX RDF |
online railway delay management, simulation, heuristics, transportation, stochastic scheduling, PSPACE, experimental algorithms, online optimization, web-based simulation |
20 | Hui Liu, Huawei Li 0001, Yu Hu 0001, Xiaowei Li 0001 |
A Scan-Based Delay Test Method for Reduction of Overtesting. |
DELTA |
2008 |
DBLP DOI BibTeX RDF |
overtesting, SeBoS, delay test, IR drop |
20 | Khaled R. Heloue, Farid N. Najm |
Parameterized timing analysis with general delay models and arbitrary variation sources. |
DAC |
2008 |
DBLP DOI BibTeX RDF |
nonlinear delay, parameterized timing analysis, variability |
20 | Yueping Zhang, Seong-ryong Kang, Dmitri Loguinov |
Delay-independent stability and performance of distributed congestion control. |
IEEE/ACM Trans. Netw. |
2007 |
DBLP DOI BibTeX RDF |
heterogeneous delay, congestion control, asymptotic stability |
20 | Pi-Rong Sheu, Shan-Tai Chen |
On the Hardness of Approximating the Multicast Delay Variation Problem. |
IEEE Trans. Computers |
2007 |
DBLP DOI BibTeX RDF |
inter-destination delay variation, NP-complete, NP-hard, multicast routing, Inapproximability |
20 | Ilia Polian, Hideo Fujiwara |
Functional Constraints vs. Test Compression in Scan-Based Delay Testing. |
J. Electron. Test. |
2007 |
DBLP DOI BibTeX RDF |
Overtesting prevention, Scan-based delay test, Test compression, Functional constraints |
20 | Suchismita Roy, P. P. Chakrabarti 0001, Pallab Dasgupta |
Event propagation for accurate circuit delay calculation using SAT. |
ACM Trans. Design Autom. Electr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
Critical delay, event propagation, SAT |
20 | Farshad Ahdi, Vikram Srinivasan, Kee Chaing Chua |
Topology Control for Delay Sensitive Applications in Wireless Sensor Networks. |
Mob. Networks Appl. |
2007 |
DBLP DOI BibTeX RDF |
delay sensitive applications, wireless sensor networks, topology control |
20 | Romas Armolavicius |
Simple Approximations of Delay Distributions and Their Application to Network Modeling. |
ITC |
2007 |
DBLP DOI BibTeX RDF |
Normal-Power approximation, network planning, Delay distribution |
20 | Takayuki Fukuoka, Akira Tsuchiya, Hidetoshi Onodera |
Worst-case delay analysis considering the variability of transistors and interconnects. |
ISPD |
2007 |
DBLP DOI BibTeX RDF |
worst-case delay, interconnect, process variation |
20 | Luciano Lenzini, Enzo Mingozzi, Giovanni Stea |
End-to-end delay bounds in FIFO-multiplexing tandems. |
VALUETOOLS |
2007 |
DBLP DOI BibTeX RDF |
FIFO-multiplexing, network calculus, delay bound |
20 | Rajeshwary Tayade, Vijay Kiran Kalyanam, Sani R. Nassif, Michael Orshansky, Jacob A. Abraham |
Estimating path delay distribution considering coupling noise. |
ACM Great Lakes Symposium on VLSI |
2007 |
DBLP DOI BibTeX RDF |
dynamic delay variation, coupling, crosstalk |
20 | Zvi Rosberg, Andrew Zalesky, Moshe Zukerman |
Packet delay in optical circuit-switched networks. |
IEEE/ACM Trans. Netw. |
2006 |
DBLP DOI BibTeX RDF |
fixed point approximation, circuit switching, packet delay, WDM network |
20 | Patrick Girard 0001, Olivier Héron, Serge Pravossoudovitch, Michel Renovell |
An Efficient BIST Architecture for Delay Faults in the Logic Cells of Symmetrical SRAM-Based FPGAs. |
J. Electron. Test. |
2006 |
DBLP DOI BibTeX RDF |
BIST, delay faults, look-up table |
20 | Koenraad Laevens, Marc Moeneclaey, Herwig Bruneel |
Queueing analysis of a single-wavelength Fiber-Delay-Line buffer. |
Telecommun. Syst. |
2006 |
DBLP DOI BibTeX RDF |
Fiber delay lines, Loss heuristics, Maximum tolerable load, Generating functions, Queueing analysis, Optical buffers |
20 | Ilia Polian, Hideo Fujiwara |
Functional constraints vs. test compression in scan-based delay testing. |
DATE |
2006 |
DBLP DOI BibTeX RDF |
overtesting prevention, scan-based delay test, test compression, functional constraints |
20 | Nabhendra Bisnik, Alhussein A. Abouzeid |
Queuing network models for delay analysis of multihop wireless ad hoc networks. |
IWCMC |
2006 |
DBLP DOI BibTeX RDF |
ad hoc networks, delay, throughput, queuing theory |
20 | Jung-Ryun Lee |
Energy Efficient Sleep Interval Decision Method Considering Delay Constraint for VoIP Traffic. |
NEW2AN |
2006 |
DBLP DOI BibTeX RDF |
sleep interval, energy efficiency, delay constraint, Power saving mode |
20 | Lin Guan, Michael E. Woodward, Irfan-Ullah Awan |
Bounding Delay through a Buffer using Dynamic Queue Thresholds. |
AINA (1) |
2006 |
DBLP DOI BibTeX RDF |
Queue Threshold, Quality of Service (QoS), Control Strategy, Queueing Delay |
20 | Pei Zhang 0001, Christopher M. Sadler, Margaret Martonosi |
Middleware for long-term deployment of delay-tolerant sensor networks. |
MidSens |
2006 |
DBLP DOI BibTeX RDF |
sensor networks, delay-tolerant networks, application scheduling, middleware system |
20 | Ethan Katz-Bassett, John P. John, Arvind Krishnamurthy, David Wetherall, Thomas E. Anderson, Yatin Chawathe |
Towards IP geolocation using delay and topology measurements. |
Internet Measurement Conference |
2006 |
DBLP DOI BibTeX RDF |
route measurements, network topology, geolocation, delay measurements |
20 | Jean Marc Gallière, Michel Renovell, Florence Azaïs, Yves Bertrand |
Delay Testing Viability of Gate Oxide Short Defects. |
J. Comput. Sci. Technol. |
2005 |
DBLP DOI BibTeX RDF |
gate oxide short (GOS), VLSI, delay testing, defect |
20 | Khaled A. Harras, Kevin C. Almeroth, Elizabeth M. Belding-Royer |
Delay Tolerant Mobile Networks (DTMNs): Controlled Flooding in Sparse Mobile Networks. |
NETWORKING |
2005 |
DBLP DOI BibTeX RDF |
Mobile Networks, Delay Tolerant Networking |
20 | Robin Groenevelt, Philippe Nain, Ger Koole |
Message delay in MANET. |
SIGMETRICS |
2005 |
DBLP DOI BibTeX RDF |
mobile ad hoc, modeling, networks, delay, estimation, statistics, performance prediction |
20 | Luís Natário, Catarina Brites, João Ascenso, Fernando Pereira 0001 |
Extrapolating Side Information for Low-Delay Pixel-Domain Distributed Video Coding. |
VLBV |
2005 |
DBLP DOI BibTeX RDF |
motion extrapolation, low-delay, distributed video coding, side information |
20 | Anindya Basu, Brian Boshes, Sayandev Mukherjee, Sharad Ramanathan |
Network deformation: traffic-aware algorithms for dynamically reducing end-to-end delay in multi-hop wireless networks. |
MobiCom |
2004 |
DBLP DOI BibTeX RDF |
wireless networks, topology, deformation, multi-hop, end-to-end delay, traffic-aware |
20 | Carl Gutwin, Steve Benford, Jeff Dyck, Mike Fraser 0001, Ivan Vaghi, Chris Greenhalgh |
Revealing delay in collaborative environments. |
CHI |
2004 |
DBLP DOI BibTeX RDF |
groupware, latency, jitter, collaborative environments, shared workspaces, network delay |
20 | J. Mead, Barbara Zubik-Kowal |
Pseudospectral Iterated Method for Differential Equations with Delay Terms. |
International Conference on Computational Science |
2004 |
DBLP DOI BibTeX RDF |
Linear delay equations, parallel computing, error estimations, numerical experiments, numerical approximations |
20 | Liang Zhang 0038, Wentai Liu, Rizwan Bashirullah, John M. Wilson 0002, Paul D. Franzon |
Simplified delay design guidelines for on-chip global interconnects. |
ACM Great Lakes Symposium on VLSI |
2004 |
DBLP DOI BibTeX RDF |
effective attenuation constant, first incident switching, lossy transmission line, delay, global interconnects, RLC |
20 | Mahilchi Milir Vaseekar Kumar, Saravanan Padmanaban, Spyros Tragoudas |
Low power ATPG for path delay faults. |
ACM Great Lakes Symposium on VLSI |
2004 |
DBLP DOI BibTeX RDF |
low power, ATPG, path delay faults, PODEM |
20 | Changhua Zhu, Changxing Pei, Jiandong Li 0001 |
Functional Networks Based Internet End-to-End Delay Dynamics. |
AINA (2) |
2004 |
DBLP DOI BibTeX RDF |
functional network, Internet, nonlinear system, end-to-end delay |
20 | Peng Yong Kong, Kee Chaing Chua, Brahim Bensaou |
A novel scheduling scheme to share dropping ratio while guaranteeing a delay bound in a multiCode-CDMA network. |
IEEE/ACM Trans. Netw. |
2003 |
DBLP DOI BibTeX RDF |
multicode-CDMA, proportional dropping ratio guarantee, upper delay guarantee, variable capacity |
20 | Lavanya Vasudevan, Antonio Ortega, Urbashi Mitra |
Application-specific compression for time delay estimation in sensor networks. |
SenSys |
2003 |
DBLP DOI BibTeX RDF |
processing-aware, time delay estimation, sensor networks, compression, mutual information, hypothesis testing, array processing |
20 | Chandramouli V. Kashyap, Charles J. Alpert, Frank Liu 0001, Anirudh Devgan |
Closed form expressions for extending step delay and slew metrics to ramp inputs. |
ISPD |
2003 |
DBLP DOI BibTeX RDF |
Elmore, slew, delay, timing, interconnects, PDF, moments, median, skewness |
20 | Muzhou Shao, Martin D. F. Wong, Huijing Cao, Youxin Gao, Li-Pen Yuan, Li-Da Huang, Seokjin Lee |
Explicit gate delay model for timing evaluation. |
ISPD |
2003 |
DBLP DOI BibTeX RDF |
pre-characterize, delay model, explicit |
20 | Anand Ramachandran, Margarida F. Jacome |
Xtream-Fit: an energy-delay efficient data memory subsystem for embedded media processing. |
DAC |
2003 |
DBLP DOI BibTeX RDF |
streaming memory, low power, configurability, design space exploration, media processing, scratch-pad, energy delay product |
20 | Hui Deng, Fuchun Sun 0001, Zengqi Sun |
Observer-Based Adaptive Controller Design of Flexible Manipulators Using Time-Delay Neuro-Fuzzy Networks. |
J. Intell. Robotic Syst. |
2002 |
DBLP DOI BibTeX RDF |
time-delay neuro-fuzzy networks, output redefinition, observer, adaptive control, flexible manipulators |
20 | Ashish Goel, Kamesh Munagala |
Extending Greedy Multicast Routing to Delay Sensitive Applications. |
Algorithmica |
2002 |
DBLP DOI BibTeX RDF |
Quality of service, Routing, Networks, Multicasting, Delay |
20 | Prashanth Hande, Lang Tong, Ananthram Swami |
Multipath Delay Estimation for Frequency Hopping Systems. |
J. VLSI Signal Process. |
2002 |
DBLP DOI BibTeX RDF |
multiple invariance, eigenstructures, delay estimation |
20 | Dinesh Pamunuwa, Hannu Tenhunen |
On Dynamic Delay and Repeater Insertion in Distributed Capacitively Coupled Interconnects. |
ISQED |
2002 |
DBLP DOI BibTeX RDF |
Cross-talk, Delay minimisation, Static timing, Repeater insertion, Deep sub-micron |
20 | Chandramouli V. Kashyap, Charles J. Alpert, Frank Liu 0001, Anirudh Devgan |
PERI: a technique for extending delay and slew metrics to ramp inputs. |
Timing Issues in the Specification and Synthesis of Digital Systems |
2002 |
DBLP DOI BibTeX RDF |
Elmore, slew, delay, interconnects, PDF, moments, median, skewness, standard deviation |
20 | Lauren Hui Chen, Malgorzata Marek-Sadowska, Forrest Brewer |
Coping with buffer delay change due to power and ground noise. |
DAC |
2002 |
DBLP DOI BibTeX RDF |
common mode noise, differential mode noise, incremental delay change, power and ground noise |
20 | Arnaud Virazel, René David, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch |
Delay Fault Testing: Choosing Between Random SIC and Random MIC Test Sequences. |
J. Electron. Test. |
2001 |
DBLP DOI BibTeX RDF |
non-robust test, BIST, random testing, delay testing, robust test |
20 | Henryk Fuks, Anna T. Lawniczak, Stanislav Volkov |
Packet delay in models of data networks. |
ACM Trans. Model. Comput. Simul. |
2001 |
DBLP DOI BibTeX RDF |
packet switching, random walk, packet delay, routing table, Hitting time |
20 | Andrés Pérez-Uribe |
Using a Time-Delay Actor-Critic Neural Architecture with Dopamine-Like Reinforcement Signal for Learning in Autonomous Robots. |
Emergent Neural Computational Architectures Based on Neuroscience |
2001 |
DBLP DOI BibTeX RDF |
Learning robots, actor-critic architecture, TD-learning, dopamine neurons, human teaching signals, reinforcement learning, time-delay neural networks |
20 | Emil Gizdarski |
Detection of Delay Faults in Memory Address Decoders. |
J. Electron. Test. |
2000 |
DBLP DOI BibTeX RDF |
Built-In Self-Test, delay testing, stuck-open faults, RAM testing |
20 | James D. Herbsleb, Audris Mockus, Thomas A. Finholt, Rebecca E. Grinter |
Distance, dependencies, and delay in a global collaboration. |
CSCW |
2000 |
DBLP DOI BibTeX RDF |
global collaboration, software development, delay, awareness, informal communication, speed, interdependence |
20 | Lu Guoying, Liu Zemin, Zhou Zheng |
Multicast Routing Based on Ant Algorithm for Delay-Bounded and Load-Balancing Traffic. |
LCN |
2000 |
DBLP DOI BibTeX RDF |
delay-bounded traffic, load-balancing traffic, multicast destinations, ant-network model, OPNET simulation software, congested nodes, packet switched computer communication networks, computer networks, packet switching, multicast routing, telecommunication network routing, real-time communications, multicast communication, telecommunication traffic, ant algorithm |
20 | Patrick Girard 0001, Christian Landrault, V. Moreda, Serge Pravossoudovitch, Arnaud Virazel |
A Scan-BIST Structure to Test Delay Faults in Sequential Circuits. |
J. Electron. Test. |
1999 |
DBLP DOI BibTeX RDF |
BIST, delay faults, scan design |
20 | Hatem Bettahar, Abdelmadjid Bouabdallah |
A Scalable Multicast Protocol for Delay-Constrained Shared Multicast Tree Construction. |
ISCC |
1999 |
DBLP DOI BibTeX RDF |
delay-constrained shared trees, QoS, shared tree, multicast routing protocol |
20 | V. Chandramouli, Jesse Whittemore, Karem A. Sakallah |
AFTA: A Formal Delay Model for Functional Timing Analysis. |
DATE |
1998 |
DBLP DOI BibTeX RDF |
timed-automamata, timing analysis, states, delay model, timers |
20 | Yoshifumi Nishida |
Smooth Slow-Start: Refining TCP Slow-Start for Large-Bandwidth with Long-Delay Networks. |
LCN |
1998 |
DBLP DOI BibTeX RDF |
long delay networks, large bandwidth network, protocol, congestion control, TCP, slow-start |
20 | Pedro A. Molina, Peter Y. K. Cheung |
A Quasi Delay-Insensitive Bus Proposal for Asynchronous Systems. |
ASYNC |
1997 |
DBLP DOI BibTeX RDF |
Tri-state Buffers, Asynchronous, Composability, Bus, Data Path, Delay-Insensitive, Handshake Circuits |
20 | Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel |
Effects of delay models on peak power estimation of VLSI sequential circuits. |
ICCAD |
1997 |
DBLP DOI BibTeX RDF |
variable delay, sustainable power, n-cycle power, peak power, genetic optimization |
20 | Chang-Gun Lee, Joosun Hahn, Yang-Min Seo, Sang Lyul Min, Rhan Ha, Seongsoo Hong, Chang Yun Park, Minsuk Lee, Chong-Sang Kim |
Enhanced analysis of cache-related preemption delay in fixed-priority preemptive scheduling. |
RTSS |
1997 |
DBLP DOI BibTeX RDF |
cache related preemption delay, preempted task, infeasible task interactions, linear programming problem, guaranteed upper bound, real-time systems, instruction caching, real time computing system, worst case response time, fixed priority preemptive scheduling |
20 | William J. Schilp, Peter M. Maurer |
Unit delay simulation with the inversion algorithm. |
ICCAD |
1996 |
DBLP DOI BibTeX RDF |
unit delay, digital circuit simulation, simulation, event driven simulation |
20 | Prasanti Uppaluri, Uwe Sparmann, Irith Pomeranz |
On minimizing the number of test points needed to achieve complete robust path delay fault testability. |
VTS |
1996 |
DBLP DOI BibTeX RDF |
robust path delay fault testability, RD fault identification, fault diagnosis, logic testing, delays, test generation, combinational circuits, combinational circuit, test point insertion |
20 | S. Ramanathan, V. Visvanathan |
A systolic architecture for LMS adaptive filtering with minimal adaptation delay. |
VLSI Design |
1996 |
DBLP DOI BibTeX RDF |
LMS adaptive filtering, minimal adaptation delay, convergence behaviour, function preserving transformations, SFG representation, carry-save arithmetic, systolic folded pipelined architecture, VLSI, delays, systolic arrays, pipeline processing, adaptive filters, digital filters, digital signal processing chips, convergence of numerical methods, systolic architecture, signal flow graphs, signal flow graph, least mean squares methods, LMS algorithm |
20 | Wuudiann Ke, Premachandran R. Menon |
Multifault and delay-fault testability of multilevel circuits. |
J. Electron. Test. |
1995 |
DBLP DOI BibTeX RDF |
testing, testability, delay-faults, multiple stuck-at faults |
20 | Jason P. Hurst, Nick Kanopoulos |
Flip-flop sharing in standard scan path to enhance delay fault testing of sequential circuits. |
Asian Test Symposium |
1995 |
DBLP DOI BibTeX RDF |
flip-flop sharing, standard scan path, standard scan path design, two-vector test sets, VLSI, fault diagnosis, logic testing, delays, design for testability, logic design, sequential circuits, sequential circuits, flip-flops, integrated logic circuits, sequential machines, delay fault testing |
20 | Sandeep Pagey |
Fast functional testing of delay-insensitive circuits. |
Asian Test Symposium |
1995 |
DBLP DOI BibTeX RDF |
four-phase handshake signalling, Martin's method, distributed circuit, OR/C blocks, generation of test sequences, program flow graph, logic testing, delays, design for testability, logic CAD, asynchronous circuits, functional testing, testing time, self-timed circuits, delay-insensitive circuits, OR gates |
20 | Sachin S. Sapatnekar, Weitong Chuang |
Power vs. delay in gate sizing: conflicting objectives? |
ICCAD |
1995 |
DBLP DOI BibTeX RDF |
power-delay tradeoffs, short-circuit power, logic design, logic CAD, integrated circuit design, circuit CAD, optimization problem, circuit optimisation, gate sizing, convex programming, CMOS digital integrated circuits, dynamic power |
20 | Irith Pomeranz, Sudhakar M. Reddy |
Functional test generation for delay faults in combinational circuits. |
ICCAD |
1995 |
DBLP DOI BibTeX RDF |
gate-level realizations, logic testing, delays, test generation, test generators, combinational circuits, fault simulated, logic CAD, delay faults, functional fault model, functional test generation |
20 | Tanay Karnik, Sung-Mo Kang |
An empirical model for accurate estimation of routing delay in FPGAs. |
ICCAD |
1995 |
DBLP DOI BibTeX RDF |
Routing Delay, Modeling, FPGA, Estimation, Statistics |
20 | John Lillis, Chung-Kuan Cheng, Ting-Ting Y. Lin |
Optimal wire sizing and buffer insertion for low power and a generalized delay model. |
ICCAD |
1995 |
DBLP DOI BibTeX RDF |
Timing Optization, Dynamic Power Dissipation, Signal Slew, Dynamic Programming, Elmore Delay |
20 | Kumar N. Lalgudi, Marios C. Papaefthymiou |
Efficient retiming under a general delay model. |
ARVLSI |
1995 |
DBLP DOI BibTeX RDF |
retiming algorithm, general delay model, edge-triggered circuits, load-dependent gate delays, register delays, integer linear programming constraints, integer phonotonic programming formulation, linear programming, delays, timing, integer programming, logic design, logic design, logic circuits, clock skew, propagation delays, interconnect delays |
20 | Rene David, S. Rahal, J. L. Rainard |
Some relationships between delay testing and stuck-open testing in CMOS circuits. |
EURO-DAC |
1990 |
DBLP DOI BibTeX RDF |
stuck-open, combinational circuits, CMOS, Delay testing, robust test |
20 | Slimane Ben Slimane, Xuesong Li, Bo Zhou, Nauroze Syed, Mohammad Abu Dheim |
Delay Optimization in Cooperative Relaying with Cyclic Delay Diversity. |
ICC |
2008 |
DBLP DOI BibTeX RDF |
|
20 | Chong Lin, Qing-Guo Wang, Tong Heng Lee, Yong He 0003, Bing Chen 0001 |
Observer-Based Hinfty Control for T-S Fuzzy Systems With Time Delay: Delay-Dependent Design Method. |
IEEE Trans. Syst. Man Cybern. Part B |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Xuemei Ren, Ahmad B. Rad |
Identification of Nonlinear Systems With Unknown Time Delay Based on Time-Delay Neural Networks. |
IEEE Trans. Neural Networks |
2007 |
DBLP DOI BibTeX RDF |
|
20 | Haihua Yan, Adit D. Singh |
A New Delay Test Based on Delay Defect Detection Within Slack Intervals (DDSI). |
IEEE Trans. Very Large Scale Integr. Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Mahilchi Milir Vaseekar Kumar, Spyros Tragoudas, Sreejit Chakravarty, Rathish Jayabharathi |
Exact Delay Fault Coverage in Sequential Logic Under Any Delay Fault Model. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
20 | Yong Shim, Youngkwon Jo, Soo Hwan Kim, Suki Kim, Kwanjun Cho |
A register controlled delay locked loop using a TDC and a new fine delay line scheme. |
ISCAS |
2006 |
DBLP DOI BibTeX RDF |
|
20 | B. Chen, X. Liu |
Delay-Dependent Robust H∞ Control for T-S Fuzzy Systems With Time Delay. |
IEEE Trans. Fuzzy Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Xu Chen, Jin Liu |
A delay compensation technique for n-phase clock generation with 2(N-1) delay units. |
ISCAS (5) |
2005 |
DBLP DOI BibTeX RDF |
|
20 | Masashi Imai, Metehan Özcan, Takashi Nanya |
Evaluation of Delay Variation in Asynchronous Circuits Based on the Scalable-Delay-Insensitive Model. |
ASYNC |
2004 |
DBLP DOI BibTeX RDF |
|
20 | Chung Liang Chen, Chung-Len Lee, Ming Shae Wu |
A New Path Delay Test Scheme Based on Path Delay Inertia. |
Asian Test Symposium |
2004 |
DBLP DOI BibTeX RDF |
|
20 | Ananta K. Majhi, Guido Gronthoud, Camelia Hora, Maurice Lousberg, Pop Valer, Stefan Eichenberger |
Improving Diagnostic Resolution of Delay Faults using Path Delay Fault Model. |
VTS |
2003 |
DBLP DOI BibTeX RDF |
|
20 | Ravikishore Gandikota, Li Ding 0002, Peivand Tehrani, David T. Blaauw |
Worst-case aggressor-victim alignment with current-source driver models. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
CSM, delay noise, crosstalk |
20 | Kostas Christodoulopoulos, Vasileios Gkamas, Emmanouel A. Varvarigos |
Statistical Analysis and Modeling of Jobs in a Grid Environment. |
J. Grid Comput. |
2008 |
DBLP DOI BibTeX RDF |
Job profiling, Delay components, Grid computing, Probabilistic modeling |
20 | Hongli Xu, Liusheng Huang, Junmin Wu, Yang Wang 0015, Jichun Wang, Xu Wang 0002 |
Self-organization Data Gathering for Wireless Sensor Networks. |
MSN |
2006 |
DBLP DOI BibTeX RDF |
Wireless Sensor Networks, Delay, Energy, Interference, Data Gathering |
Displaying result #501 - #600 of 49999 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ 12][ 13][ 14][ 15][ >>] |
|