The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for sequential with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1959 (19) 1960-1961 (16) 1962 (17) 1963 (20) 1964 (24) 1965 (38) 1966 (42) 1967 (43) 1968 (43) 1969 (40) 1970 (41) 1971 (62) 1972 (42) 1973 (45) 1974 (58) 1975 (50) 1976 (44) 1977 (52) 1978 (49) 1979 (43) 1980 (58) 1981 (47) 1982 (54) 1983 (62) 1984 (65) 1985 (56) 1986 (67) 1987 (84) 1988 (153) 1989 (170) 1990 (195) 1991 (188) 1992 (235) 1993 (256) 1994 (316) 1995 (370) 1996 (337) 1997 (396) 1998 (356) 1999 (450) 2000 (528) 2001 (543) 2002 (596) 2003 (672) 2004 (859) 2005 (998) 2006 (1075) 2007 (1184) 2008 (1182) 2009 (995) 2010 (619) 2011 (521) 2012 (607) 2013 (615) 2014 (632) 2015 (700) 2016 (734) 2017 (842) 2018 (910) 2019 (1070) 2020 (1175) 2021 (1222) 2022 (1282) 2023 (1460) 2024 (382)
Publication types (Num. hits)
article(11316) book(35) data(1) incollection(167) inproceedings(14290) phdthesis(296) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(2304) IEEE Trans. Computers(364) IEEE Trans. Comput. Aided Des....(256) IEEE Trans. Inf. Theory(232) ICASSP(207) IEEE Access(202) IEEE Trans. Signal Process.(166) DAC(160) ICCAD(152) WSC(134) VLSI Design(133) AAAI(132) IPDPS(125) CIKM(123) Neurocomputing(114) ICML(102) More (+10 of total 3888)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 11369 occurrences of 4563 keywords

Results
Found 26106 publication records. Showing 26106 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19André da Motta Salles Barreto, Douglas Adriano Augusto, Helio J. C. Barbosa On the characteristics of sequential decision problems and their impact on evolutionary computation. Search on Bibsonomy GECCO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sequential decision problems, evolutionary computation, reinforcement learning
19Murali Agastya, Arkadii M. Slinko Axioms for a Class of Algorithms of Sequential Decision Making. Search on Bibsonomy ADT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ex-post rationality, fictitious play, multiset, sequential decision making
19Sang T. T. Nguyen Efficient web usage mining process for sequential patterns. Search on Bibsonomy iiWAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF pre-order linked WAP-Tree (PLWAP-tree), web access patterns (WAP), web usage mining (WUM), Markov model, sequential patterns
19Pankaj Chauhan, Deepak Goyal, Gagan Hasteer, Anmol Mathur, Nikhil Sharma Non-cycle-accurate sequential equivalence checking. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF unit product machine, model checking, formal verification, high level synthesis, sequential equivalence checking
19Zhiwei Liang, Xudong Ma, Xianzhong Dai Information-theoretic Approaches Based on Sequential Monte Carlo to Collaborative Distributed Sensors for Mobile Robot Localization. Search on Bibsonomy J. Intell. Robotic Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Optimal sensor selection, Information utility, Density trees, Bayesian inference, Sequential Monte Carlo
19Julien Cornebise, Eric Moulines, Jimmy Olsson Adaptive methods for sequential importance sampling with application to state space models. Search on Bibsonomy Stat. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Adaptive Monte Carlo, Auxiliary particle filter, Coefficient of variation, State space models, Kullback-Leibler divergence, Sequential Monte Carlo, Cross-entropy method
19Valerio Grossi, Andrea Romei, Salvatore Ruggieri A Case Study in Sequential Pattern Mining for IT-Operational Risk. Search on Bibsonomy ECML/PKDD (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF pre and post-processing, operational risk, sequential pattern
19Di Ma Practical forward secure sequential aggregate signatures. Search on Bibsonomy AsiaCCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF forward secure sequential aggregate authentication, quality of forward security, MAC, signature
19Chad A. Williams, Abolfazl (Kouros) Mohammadian, Peter C. Nelson, Sean T. Doherty Mining sequential association rules for traveler context prediction. Search on Bibsonomy MobiQuitous The full citation details ... 2008 DBLP  DOI  BibTeX  RDF activity prediction, travel patterns, traveler context, sequential mining
19Haytham Elghazel, Tetsuya Yoshida, Mohand-Said Hacid An Integrated Graph and Probability Based Clustering Framework for Sequential Data. Search on Bibsonomy Discovery Science The full citation details ... 2008 DBLP  DOI  BibTeX  RDF graphb-coloring, Clustering, EM algorithm, sequential data
19Wathanyoo Khaisongkram, Pongsathorn Raksincharoensak, Masamichi Shimosaka, Taketoshi Mori, Tomomasa Sato, Masao Nagai Automobile Driving Behavior Recognition Using Boosting Sequential Labeling Method for Adaptive Driver Assistance Systems. Search on Bibsonomy KI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Automobile driving behavior, adaptive driving assistance system, driving-state recognition, boosting sequential labeling method
19Huaqing Wang, Peng Chen 0002 Sequential Fuzzy Diagnosis for Condition Monitoring of Rolling Bearing Based on Neural Network. Search on Bibsonomy ISNN (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Sequential fuzzy diagnosis, Rolling bearing, Neural network, Possibility theory, Condition monitoring
19Gexin Bi, Fang Dong A Sequential Learning Algorithm for RBF Networks with Application to Ship Inverse Control. Search on Bibsonomy ISNN (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Sequential learning, Radial basis function network, Inverse control
19Dan Zhu, Tun Li, Yang Guo 0003, Sikun Li 2D Decomposition Sequential Equivalence Checking of System Level and RTL Descriptions. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cutpoints, Program slicing, Sequential equivalence checking
19Juyoung Kang 0002, Hwan-Seung Yong Spatio-temporal discretization for sequential pattern mining. Search on Bibsonomy ICUIMC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF data discretization, sequential pattern mining, spatio-temporal data mining
19José G. Dias, Maria João Cortinhal The SKM Algorithm: A K-Means Algorithm for Clustering Sequential Data. Search on Bibsonomy IBERAMIA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF KL distance, clustering, K-Means algorithm, sequential data
19Elise Arnaud, Étienne Mémin Partial Linear Gaussian Models for Tracking in Image Sequences Using Sequential Monte Carlo Methods. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optimal importance function, validation gate, point tracking, planar structure tracking, sequential Monte Carlo methods, Rao-Blackwellization
19Ronald Mellado Miller, Richard J. Sauque Sequential Analyses of Error Rate: A Theoretical View. Search on Bibsonomy HCI (13) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF percentage, extinction, performance, persistence, error rate, sequential
19Yang Li, Lin Zuo, Jun Wei 0001, Hua Zhong 0001, Tao Huang 0001 Sequential Pattern-Based Cache Replacement in Servlet Container. Search on Bibsonomy ICWE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Servlet Cache, Sequential Patterns, Cache Replacement
19Tian Zhu, Sixue Bai A Parallel Mining Algorithm for Closed Sequential Patterns. Search on Bibsonomy AINA Workshops (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF closed sequential pattern, pseudo projection, data mining, parallel algorithm
19Luis Ceze, James Tuck 0001, Pablo Montesinos, Josep Torrellas BulkSC: bulk enforcement of sequential consistency. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF bulk, chip multiprocessors, programmability, sequential consistency, memory consistency models
19Wei Wei 0001, Kyoungwon Suh, Bing Wang 0001, Yu Gu 0004, Jim Kurose, Donald F. Towsley Passive online rogue access point detection using sequential hypothesis testing with TCP ACK-pairs. Search on Bibsonomy Internet Measurement Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF TCP ACK-pairs, rogue access point detection, sequential hypothesis testing
19Xuan Hieu Phan, Le Minh Nguyen 0001, Yasushi Inoguchi, Tu Bao Ho, Susumu Horiguchi Improving discriminative sequential learning by discovering important association of statistics. Search on Bibsonomy ACM Trans. Asian Lang. Inf. Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Discriminative sequential learning, feature selection, information extraction, association rule mining, text segmentation
19Irith Pomeranz, Sudhakar M. Reddy On Generating Tests that Avoid the Detection of Redundant Faults in Synchronous Sequential Circuits with Full Scan. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF overtesting, test generation, Design-for-testability, synchronous sequential circuits, redundant faults, full-scan, fault dominance
19Sanjukta Bhanja, Karthikeyan Lingasubramanian, N. Ranganathan A stimulus-free graphical probabilistic switching model for sequential circuits using dynamic bayesian networks. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sequential circuits, Dynamic Bayesian networks, TDM
19Ke Wang 0001, Benjamin C. M. Fung Anonymizing sequential releases. Search on Bibsonomy KDD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sequential release, classification, privacy, generalization, k-anonymity
19Andrew Gilpin, Tuomas Sandholm Finding equilibria in large sequential games of imperfect information. Search on Bibsonomy EC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF automated abstraction, computer poker, equilibrium finding, sequential games of imperfect information, game theory
19Wei-Chung Cheng, Chain-Fu Chao Perception-guided power minimization for color sequential displays. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF chromaticity-luminance scaling, color sequential display, visual perception, power minimization, backlight scaling
19Jing Yang 0010, Xue Yang, Jianpei Zhang A Parallel Multi-Class Classification Support Vector Machine Based on Sequential Minimal Optimization. Search on Bibsonomy IMSCCS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Support Vector Machine, Parallel, Decision Tree, Multi-Class Classification, Sequential Minimal Optimization
19Philippe Georgelin, Venkat Krishnaswamy Towards a C++-based design methodology facilitating sequential equivalence checking. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF modeling methodology, sequential equivalence checking
19Dong Guo 0003, Xiaodong Wang 0001, Rong Chen New sequential Monte Carlo methods for nonlinear dynamic systems. Search on Bibsonomy Stat. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF kernel representation, bayesian inference, nonlinear dynamic system, sequential Monte Carlo
19Maher N. Mneimneh, Karem A. Sakallah Principles of Sequential-Equivalence Verification. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF conceptual and algorithmic approache, ATPG, sequential-equivalence checking, satisfiability solvers
19Xuan Hieu Phan, Minh Le Nguyen 0001, Tu Bao Ho, Susumu Horiguchi Improving discriminative sequential learning with rare--but--important associations. Search on Bibsonomy KDD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF discriminative sequential learning, feature selection, information extraction, association rule, text segmentation
19Paolo Santi, Stefano Chessa Reducing the Number of Sequential Diagnosis Iterations in Hypercubes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hypercubes, system-level diagnosis, Massively parallel systems, sequential diagnosis
19B. Ali, A. E. A. Almaini, Tatiana Kalganova Evolutionary Algorithms and Theirs Use in the Design of Sequential Logic Circuits. Search on Bibsonomy Genet. Program. Evolvable Mach. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF genetic algorithm, sequential circuits, evolvable hardware, state assignment
19Gang Qian, Rama Chellappa Structure from Motion Using Sequential Monte Carlo Methods. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF video analysis, structure from motion, sequential Monte Carlo methods
19Ke Wang 0001, Yabo Xu, Jeffrey Xu Yu Scalable sequential pattern mining for biological sequences. Search on Bibsonomy CIKM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pruning technique, algorithm, bioinformatics, sequence, sequential pattern, frequent pattern
19Christie I. Ezeife, Min Chen Incremental Mining of Web Sequential Patterns Using PLWAP Tree on Tolerance MinSupport. Search on Bibsonomy IDEAS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Apriori-like algorithms, PLWAP tree, Scalability, frequent patterns, Incremental Mining, sequential mining
19Antonio Alonso-Ayuso, Paolo Detti, Laureano F. Escudero, M. Teresa Ortuño On Dual Based Lower Bounds for the Sequential Ordering Problem with Precedences and Due Dates. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF sequential ordering problem, due dates, minimum arborescence, permutation path, Lagrangian relaxation, Hamiltonian path, precedences
19Dong Xiang, Yi Xu, Hideo Fujiwara Nonscan Design for Testability for Synchronous Sequential Circuits Based on Conflict Resolution. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF inversion parity, nonscan design for testability, sequential depth for testability, Conflict, testability measure, partial scan design
19Vigyan Singhal, Carl Pixley, Adnan Aziz, Shaz Qadeer, Robert K. Brayton Sequential optimization in the absence of global reset. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Sequential logic synthesis, no-reset latches, safe replaceability
19David McSherry Sequential diagnosis in the independence Bayesian framework. Search on Bibsonomy Soft Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Naïve Bayes, Hypothetico-deductive reasoning, Test selection, Sequential diagnosis
19Spyros A. Reveliotis On the Siphon-Based Characterization of Liveness in Sequential Resource Allocation Systems. Search on Bibsonomy ICATPN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF sequential resource allocation systems, Petri net structural analysis, siphons, deadlock resolution
19Marion Leleu, Christophe Rigotti, Jean-François Boulicaut, Guillaume Euvrard GO-SPADE: Mining Sequential Patterns over Datasets with Consecutive Repetitions. Search on Bibsonomy MLDM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF frequent sequential pattern mining, generalized occurrences, SPADE
19Joseph B. Kadane, Pantelis K. Vlachos Hybrid methods for calculating optimal few-stage sequential strategies: Data monitoring for a clinical trial. Search on Bibsonomy Stat. Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF backward induction, expected loss, Bayesian sequential analysis, indifference zone, forward algorithm
19Irith Pomeranz, Sudhakar M. Reddy Built-In Test Sequence Generation for Synchronous Sequential Circuits Based on Loading and Expansion of Input Sequences Using Single and Multiple Fault Detection Times. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF built-in test generation, synchronous sequential circuits, at-speed testing
19Jose Miguel Vieira dos Santos Recovering Sequential Circuits from Temporary Faults: The Survival Capability of Scan-Cells. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Sequential logic, Dependability, DFT, BST
19Kurt Cornelis, Marc Pollefeys, Luc Van Gool Lens Distortion Recovery for Accurate Sequential Structure and Motion Recovery. Search on Bibsonomy ECCV (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF lens distortion recovery, calibration, Structure from motion, sequential, high accuracy
19Michel Raynal Sequential consistency as lazy linearizability. Search on Bibsonomy SPAA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF sequential consistency, linearizability
19Shuo Sheng, Koichiro Takayama, Michael S. Hsiao Effective safety property checking using simulation-based sequential ATPG. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF sequential ATPG, simulation-based, verification
19Hiroyuki Higuchi An implication-based method to detect multi-cycle paths in large sequential circuits. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multi-cycle path, sequential circuits, ATPG, implication
19Martin Keim, Nicole Drechsler, Rolf Drechsler, Bernd Becker 0001 Combining GAs and Symbolic Methods for High Quality Tests of Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF sequential circuit ATPG, single observation time test strategy, multiple observation time test strategy, genetic algorithm, symbolic simulation
19Samrat Goswami, Anupam Chanda, D. Roy Choudhury Generation of an Ordered Sequence of Test Vectors for Single State Transition Faults in Large Sequential Machines. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Testing FSM, Single State Transition Fault Model, Sequential Machine
19Anzhela Yu. Matrosova, Sergey Ostanin, Ilya Levin Survivable Self-Checking Sequential Circuits. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF self-checking sequential machines, survivable circuits, partially monotonous functions
19Irith Pomeranz, Sudhakar M. Reddy On the Use of Fully Specified Initial States for Testing of Synchronous Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF irredundant faults, built-in test generation, test generation, synchronous sequential circuits, Initial states
19Irith Pomeranz, Sudhakar M. Reddy Procedures for Static Compaction of Test Sequences for Synchronous Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF synchronous sequential circuits, test application time, Static test compaction
19Yoshinobu Higami, Yuzo Takamatsu, Kewal K. Saluja, Kozo Kinoshita Algorithms to Select IDDQ Measurement Vectors for Bridging Faults in Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF IDDQ measurement vector, sequential circuit, bridging fault, IDDQ testing
19Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel Dynamic state traversal for sequential circuit test generation. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF finite-state-machine traversal, simulation-based, genetic algorithms, testing, sequential circuits, automatic test pattern generation (ATPG)
19Xijiang Lin, Wu-Tung Cheng, Irith Pomeranz, Sudhakar M. Reddy SIFAR: Static Test Compaction for Synchronous Sequential Circuits Based on Single Fault Restoration. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Test Segment, Parallel Pattern Simulator, Vector Restoration, Single Fault Restoration, Fault Coverage, Synchronous Sequential Circuits, Test Length, Static Test Compaction
19Laurent Bréhélin, Olivier Gascuel, Gilles Caraux, Patrick Girard 0001, Christian Landrault Hidden Markov and Independence Models with Patterns for Sequential BIST. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Machine Learning, HMM, Sequential Circuit, BIST
19Irith Pomeranz, Sudhakar M. Reddy On Synchronizing Sequences and Unspecified Values in Output Responses of Synchronous Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault diagnosis, synchronous sequential circuits, synchronizing sequences
19Xudong Luo, Chengqi Zhang Proof of the Correctness of EMYCIN Sequential Propagation Under Conditional Independence Assumptions. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF sequential propagation, Uncertainty, expert system, probability theory, certainty factor
19M. H. Konijnenburg, Hans van der Linden, Ad J. van de Goor Fault (In)Dependent Cost Estimates and Conflict-Directed Backtracking to Guide Sequential Circuit Test Generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF sequential circuit TPG, back-jumping, conflict-directed backtrack, three-state (tri-state) circuit TPG, ATPG, cost estimates
19Elizabeth M. Rudnick, Roberto Vietti, Akilah Ellis, Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda Fast Sequential Circuit Test Generation Using High-Level and Gate-Level Techniques. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF software testing, sequential circuits, automatic test generation, test sequence compaction
19Irith Pomeranz, Sudhakar M. Reddy Design-for-Testability for Synchronous Sequential Circuits using Locally Available Lines. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF synchronization, design-for-testability, synchronous sequential circuits
19Ruifeng Guo, Irith Pomeranz, Sudhakar M. Reddy Procedures for Static Compaction of Test Sequences for Synchronous Sequential Circuits Based on Vector Restoration. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF static test compaction synchronous sequential circuits
19Wenyi Feng, Fred J. Meyer, Wei-Kang Huang, Fabrizio Lombardi On the Complexity of Sequential Testing in Configurable FPGAs. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF FPGA, pipeline, PLD, sequential testing, iterative array
19Irith Pomeranz, Sudhakar M. Reddy On Test Compaction Objectives for Combinational and Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF combinational circuits synchronous sequential circuits test compaction tester storage schemes tester memory requirements
19Srikanth Venkataraman, W. Kent Fuchs, Janak H. Patel Diagnostic Simulation of Sequential Circuits Using Fault Sampling. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Diagnostic fault simulation, Sampling, Diagnosis, Sequential circuits
19Peter A. Krauss, Andreas Ganz, Kurt Antreich Distributed Test Pattern Generation for Stuck-At Faults in Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fault parallelism, search space parallelism, sequential circuits, ATPG
19Koji Yamazaki, Teruhiko Yamada An approach to diagnose logical faults in partially observable sequential circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF logical faults, partially observable sequential circuits, internal nets, error sources, error propagation traceback, failing primary outputs, ISCAS'89 benchmark circuits, fault diagnosis, simulation results, probing, diagnostic resolution
19René David Test Length for Random Testing of Sequential Machines Application to RAMs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Markov chains, Random test, Sequential machines, RAMs, Initial state
19Noriyoshi Itazaki, Yasutaka Idomoto, Kozo Kinoshita An Algorithmic Test Generation Method for Crosstalk Faults in Synchronous Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF test generation, synchronous sequential circuit, Crosstalk fault
19Irith Pomeranz, Sudhakar M. Reddy Built-in test generation for synchronous sequential circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF comparison units, built-in self-test, synchronous sequential circuits, at-speed test
19Irith Pomeranz, Sudhakar M. Reddy On n-detection test sequences for synchronous sequential circuits343. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF n-detection test sequences, stuck-at fault detection, test generation procedures, logic testing, fault simulation, synchronous sequential circuits, defect coverages
19Irith Pomeranz, Sudhakar M. Reddy EXTEST: a method to extend test sequences of synchronous sequential circuits to increase the fault coverage. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF EXTEST, test generation procedure, logic testing, fault coverage, synchronous sequential circuits, test sequences
19Vamsi Boppana, Ismed Hartanto, W. Kent Fuchs Characterization and Implicit Identification of Sequential Indistinguishability. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF implicit identification, sequential indistinguishability, diagnostic test vectors, diagnostic test pattern generation, fault diagnosis, integrated circuits
19Hisashi Kondo, Kwang-Ting Cheng Driving toward higher IDDQ test quality for sequential circuits: a generalized fault model and its ATPG. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Selective IDDQ, Pseudo Stuck-at Fault, Sequential ATPG, Vector compaction, Test, Fault model, IDDQ, Leakage Fault
19David Van Campenhout, Trevor N. Mudge, Karem A. Sakallah Timing verification of sequential domino circuits. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF domino gates, sequential domino circuits, static timing verification, logic testing, input signals
19Wanlin Cao, Dhiraj K. Pradhan Sequential redundancy identification using recursive learning. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF ISCAS benchmarks, c-cycle redundancies, c-cycle redundant faults, redundancy identification algorithm, sequential redundancy identification, state transition information, uncontrollability analysis, logic CAD, FIRES, untestable faults, recursive learning
19Fredrik Dahlgren, Michel Dubois 0001, Per Stenström Sequential Hardware Prefetching in Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Hardware-controlled prefetching, sequential prefetching, performance evaluation, shared-memory multiprocessors, memory consistency models, latency tolerance
19Shujian Zhang, Rod Byrne, Jon C. Muzio, D. Michael Miller Quantitative analysis for linear hybrid cellular automata and LFSR as built-in self-test generators for sequential faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF linear hybrid cellular automata, sequential fault, transition capability, built-in self-test, linear feedback shift register, linear finite state machine
19Yao Wang 0001, Xia-Ming Hsieh, Jian-Hong Hu, Ouseb Lee Region segmentation based on active mesh representation of motion: comparison of parallel and sequential approaches. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF active mesh representation, parallel approach, sequential approach, global motion parameters, K-means clustering algorithm, dominant motion, modified robust regression algorithm, cluster, parallel algorithms, image segmentation, motion estimation, image sequences, image sequence, image recognition, image representation, trajectories, mesh generation, region segmentation, layered approach
19Thomas J. Hebert, Xudong Yang A sequential algorithm for motion estimation from point correspondences with intermittent occlusions. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF intermittent occlusions, conditional least squares criterion, batch least squares solution, image frames, computation time reduction, computational complexity, motion estimation, motion estimation, image sequences, image sequence, parameter estimation, least squares approximations, feature points, sequential algorithm, point correspondences, estimation error, object structure
19Ken D. Sauer, Sean Borman, Charles A. Bouman Parallel computation of sequential pixel updates in statistical tomographic reconstruction. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF emission tomography, sequential pixel updates, statistical tomographic reconstruction, iterative optimization problems, Gauss-Seidel iterations, theoretical speed up, parallel algorithms, parallel computation, optimisation, convergence, statistical analysis, image reconstruction, iterative methods, medical image processing, processors, parallel implementations, Bayesian methods, convergence of numerical methods, computerised tomography, parallel computing architectures, convergence speed
19D. Talukdar, Raj Acharya Estimation of fractal dimension using alternating sequential filters. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF alternating sequential filters, fractal dimension estimation, morphological transformation, piecewise planar approximation, natural textured images, similarity measure, mathematical morphology, image representation, image representation, texture analysis, fractals, set theory, image texture, image resolution, image resolution, filtering theory, approximation theory, multiresolution representation, synthetic images, 2D image, noise robustness, image processing applications, two dimensional image, surface areas
19Noel Menezes, Ross Baldick, Lawrence T. Pileggi A sequential quadratic programming approach to concurrent gate and wire sizing. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF RC interconnect, optimization, sequential quadratic programming
19Sangho Ha, Sangyong Han, Heunghwan Kim Partitioning a lenient parallel language into sequential threads. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF lenient parallel language, language partitioning, sequential threads, multithreaded architecture performance, large-scale parallel system, split-phase memory operations, fast context switching, multithreaded code quality, enhanced thread formation scheme, Id/sup -/, long latency instructions, multiple switches, generalized switch-and-merge, thread merging, redundant arc elimination, thread precedence relations, control instructions, DAVRID multithreaded architecture, simulation, graph theory, parallel architectures, graph partitioning, switching, merging, parallel languages, large-scale systems, program control structures, branch instructions
19Enrico Macii, Angelo Raffaele Meo A test generation program for sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Automatic test pattern generation for sequential circuits, interactive fault simulation, pruning heuristics, circuit partitioning, testability measures
19David P. Helmbold, Charles E. McDowell, Jian-Zhong Wang Determining Possible Event Orders by Analyzing Sequential Traces. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF sequential traces, intermittentdeadlock, inferred program, data racedetector, constraint checker, parallel program, parallel programming, synchronization, debugging, program testing, program debugging, data races, execution trace, automated tools, event orders
19Kanji Hirabayashi Delay fault simulation of sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF sequential circuit, fault simulation, robust test, Gate delay fault
19Xiaoli Wang, Gilles Bertrand 0001 Some Sequential Algorithms for a Generalized Distance Transformation Based on Minkowski Operations. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF generalized distance transformation, Minkowski operations, point-to-point distance, image processing, image processing, transforms, mathematical morphology, mathematical morphology, binary images, medial axis transformation, sequential algorithms
19Asad A. Ismaeel, Melvin A. Breuer The probability of error detection in sequential circuits using random test vectors. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF probabilistic error detection, fault detection, Markov-model, random testing, synchronous sequential circuits
19Younes Souissi, Nicolas Beldiceanu Deterministic Systems of Sequential Processes: Theory and Tools. Search on Bibsonomy Concurrency The full citation details ... 1988 DBLP  DOI  BibTeX  RDF deterministic systems of sequential processes, Petri net, expert system, liveness
18Jan Sochman, Jiri Matas Learning Fast Emulators of Binary Decision Processes. Search on Bibsonomy Int. J. Comput. Vis. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Sequential probability ratio test, WaldBoost, Interest point detectors, Machine learning, Boosting, AdaBoost, Sequential decision making
18Arun Balakrishnan, Srimat T. Chakradhar Retiming with logic duplication transformation: theory and an application to partial scan. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF logic duplication transformation, partial scan application, RLD configurations, RLD transformation, testability metrics, scan flip-flops, sequential circuit design, linear programming, timing, integer programming, logic design, sequential circuits, logic CAD, polynomial time algorithm, integer linear program, flip-flops, retiming, integrated circuit design, circuit CAD, integrated logic circuits, objective function
18Yoshinobu Higami, Seiji Kajihara, Kozo Kinoshita Test sequence compaction by reduced scan shift and retiming. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF reduced scan shift, full scan designed circuits, computational complexity, logic testing, timing, transformation, design for testability, sequential circuits, sequential circuit, logic CAD, flip-flops, flip-flops, retiming, computing time, test length, test sequence generation, test sequence compaction
18Robert M. Fuhrer, Bill Lin 0001, Steven M. Nowick Symbolic hazard-free minimization and encoding of asynchronous finite state machines. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimal state assignment, asynchronous state machines, hazards, sequential synthesis, sequential optimization
18Harry Hollander, Bradley S. Carlson, Toby D. Bennett Synthesis of SEU-tolerant ASICs using concurrent error correction. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF radiation hardening (electronics), SEU-tolerant ASIC synthesis, single error correction/double error detection Hamming code, delay overhead, memory element set partitioning, error correction codes, sequential circuits, sequential circuit, application specific integrated circuits, logic CAD, circuit layout CAD, single event upsets, logic partitioning, Hamming codes, fault tolerant design, area overhead, memory elements, design experiments, concurrent error correction
18Samy Makar, Edward J. McCluskey Checking experiments to test latches. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF exhaustive functional tests, 2-state latches, minimum-length checking, D-latch, HSpice implementation, transmission gate latch, detectable shorted interconnects, open interconnects, short-to-power faults, short-to-ground faults, pin fault test set, multiplexer-based test set, sequential elements, 2-state state machines, simulation, fault diagnosis, logic testing, finite state machines, integrated circuit testing, sequential circuits, CMOS, circuit analysis computing, CMOS logic circuits, SPICE, stuck open faults, checking experiments, stuck-on faults
Displaying result #501 - #600 of 26106 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license