The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for CMOS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1975-1981 (16) 1982-1983 (18) 1984 (16) 1985 (28) 1986 (46) 1987 (30) 1988 (66) 1989 (53) 1990 (81) 1991 (98) 1992 (92) 1993 (125) 1994 (196) 1995 (296) 1996 (234) 1997 (237) 1998 (330) 1999 (525) 2000 (469) 2001 (574) 2002 (615) 2003 (907) 2004 (876) 2005 (1177) 2006 (1421) 2007 (1339) 2008 (1283) 2009 (989) 2010 (859) 2011 (954) 2012 (952) 2013 (894) 2014 (952) 2015 (1005) 2016 (924) 2017 (908) 2018 (1018) 2019 (987) 2020 (767) 2021 (840) 2022 (758) 2023 (754) 2024 (163)
Publication types (Num. hits)
article(8753) book(14) data(4) incollection(34) inproceedings(15928) phdthesis(139)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5098 occurrences of 1721 keywords

Results
Found 24872 publication records. Showing 24872 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
14James A. Hilder, Andy M. Tyrrell An evolutionary platform for developing next-generation electronic circuits. Search on Bibsonomy GECCO (Companion) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF analogue circuit design, genetic algorithms, genetic programming, CMOS, SPICE
14Franz X. Ruckerbauer, Georg Georgakos Soft Error Rates in 65nm SRAMs--Analysis of new Phenomena. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF NSER, ASER, multi-bit upset, soft errors and radiation, CMOS, SRAM, SEU
14Anand Mohan, Aladin Zayegh, Aleksandar Stojcevski A High Speed Analog to Digital Converter for Ultra Wide Band Applications. Search on Bibsonomy EUC Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Flash Topology, UWB, Power Saving, ADC, CMOS Technology
14Xudong Niu, Yan Song, Bo Li, Wei Bian, Yadong Tao, Feng Liu, Jinhua Hu, Yu Chen, Frank He Tests on Symmetry and Continuity between BSIM4 and BSIM5. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF BSIM4, BSIM5, CMOS circuit design, symmetry, continuity, compact model
14Rosa Rodríguez-Montañés, Daniel Arumí, Joan Figueras, Stefan Eichenberger, Camelia Hora, Bram Kruseman, Maurice Lousberg, Ananta K. Majhi Diagnosis of Full Open Defects in Interconnecting Lines. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Defect Diagnosis, Full Open Defect, Interconnecting Line, CMOS
14Daniel Arumí, Rosa Rodríguez-Montañés, Joan Figueras, Stefan Eichenberger, Camelia Hora, Bram Kruseman, Maurice Lousberg, Ananta K. Majhi Diagnosis of Bridging Defects Based on Current Signatures at Low Power Supply Voltages. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Current Based Diagnosis, Current Signatures, I_DDQ, Very Low Voltage, CMOS, Bridging Defect
14Mohamed A. Gomaa, T. N. Vijaykumar Opportunistic Transient-Fault Detection. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Transient-fault detection, redundancy, soft errors, CMOS scaling
14Amit Agarwal 0001, Saibal Mukhopadhyay, Arijit Raychowdhury, Kaushik Roy 0001, Chris H. Kim Leakage Power Analysis and Reduction for Nanoscale Circuits. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanoscale circuits, CMOS, technology scaling, leakage power reduction
14Sachin S. Sapatnekar Book Reviews: Plumbing the Depths of Leakage. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanometer CMOS technology, leakage
14József Sziray Test Calculation for Logic and Delay Faults in Digital Circuits. Search on Bibsonomy MTV The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Test-pattern calculation, logic faults, CMOS transistor structures, functional testing, delay faults, multi-valued logic
14Pablo Aguirre, Fernando Silveira Bias circuit design for low-voltage cascode transistors. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CMOS, low voltage, analog design
14Amin Shameli, Payam Heydari A novel power optimization technique for ultra-low power RFICs. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF radio-frequency integrated circuit, CMOS, ultra-low power, low-noise amplifier
14Qi Wang, Mani Soma RF Front-end System Gain and Linearity Built-in Test. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CMOS RF amplitude detector, gfain measurement, linearity measurement, built-in test, RF test
14J. W. McPherson Reliability challenges for 45nm and beyond. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design, reliability, CMOS, scaling, materials
14Osamu Takahashi, Scott R. Cottier, Sang H. Dhong, Brian K. Flachs, Joel Silberman Power-Conscious Design of the Cell Processor's Synergistic Processor Element. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Synergistic processor element, power-conscious design, low power, CMOS, SPE, Cell Processor
14Yu-Ting Kuo, Tay-Jyi Lin, Chih-Wei Liu, Chein-Wei Jen Architecture for area-efficient 2-D transform in H.264/AVC. Search on Bibsonomy ICME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF architecture shrinking, 2-D transform, H.264-AVC, automatic volume control, very large scale integration, ASIC, application-specific integrated circuit, matrix multiplication, minimization, CMOS technology, VLSI technology
14Peter C. S. Scholtens, David Smola, Maarten Vertregt Systematic power reduction and performance analysis of mismatch limited ADC designs. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF CMOS analog circuits, bandwidth scaling, flash/folding architecture, low power, technology scaling, A/D converters
14Dietmar Fey, Daniel Schmidt 0003 Marching-pixels: a new organic computing paradigm for smart sensor processor arrays. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF image pre-processing, smart CMOS camera, smart pixels, self-organization, organic computing
14Gaurav Gulati, Erik Brunvand Design of a cell library for asynchronous microengines. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF CMOS cell library, microprogramed control, asynchronous control, self-timed systems
14Gerard Allwein, Hilmi Demir, Lee Pike Logics for Classes of Boolean Monoids. Search on Bibsonomy J. Log. Lang. Inf. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF algebras of relations, Boolean monoids, correspondence theory, Kripke frames, relative modalities, CMOS circuits
14Brian Moore 0001, Christopher J. Backhouse, Martin Margala Design of Wireless Sub-Micron Characterization System. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Communication, Low-power-design, CMOS, Faults, Low-voltage, Mixed-signal
14Kaviraj Chopra, Sarma B. K. Vrudhula Implicit pseudo boolean enumeration algorithms for input vector control. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF power, CMOS, SAT, binary decision diagrams, leakage, symbolic methods
14Cassondra Neau, Kaushik Roy 0001 Optimal body bias selection for leakage improvement and process compensation over different technology generations. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF band-to-band tunneling, leakage components, process compensation, substrate bias, process variation, leakage current, CMOS scaling, body bias
14Hesam Amir Aslanzadeh, Saeid Mehrmanesh, Mohammad B. Vahidfar, Amin Quasem Safarian, Reza Lotfi A 1-V 1-mW high-speed class AB operational amplifier for high-speed low power pipelined A/D converters using "Slew Boost" technique. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF CMOS analog circuit, Slew Boost technique, class AB, low power, high speed, operational amplifier, pipelined analog to digital converter, ultra low voltage
14Conrad H. Ziesler, Joohee Kim, Visvesh S. Sathe 0001, Marios C. Papaefthymiou A 225 MHz resonant clocked ASIC chip. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF adiabatic logic, resonant LC tank, single phase, VLSI, CMOS, flip-flop, low energy, clock generator
14Sunjaya Djaja, Glenn H. Chapman, Desmond Y. H. Cheung, Yves Audet Implementation and Testing of Fault-Tolerant Photodiode-Based Active Pixel Sensor (APS). Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF photodiode APS, fault-tolerance, redundancy, SOC, CMOS image sensor, active pixel sensor
14Brian A. Floyd, Xiaoling Guo, James Caserta, Timothy O. Dickson, Chih-Ming Hung, Kihong Kim, Kenneth K. O Wireless interconnects for clock distribution. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF integrated antenna, wireless interconnect, wireless communication, interconnect, clock distribution, RF CMOS
14Norio Kuji, Takako Ishihara EB-Testing-Pad Method and Its Evaluation by Actual Devices. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF E-beam tester, stacked vias, testing pads, multi level wiring, CMp, SIMOX/CMOS technology, observability
14Hiroyuki Yotsuyanagi, Masaki Hashizume, Taisuke Iwakiri, Masahiro Ichimiya, Takeomi Tamesada Test Pattern for Supply Current Test of Open Defects by Applying Time-Variable Electric Field. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF supply current test, time-variable electric field, test pattern generation, CMOS IC, open defects
14Hans A. R. Manhaeve, Stefaan Kerckenaere An On-Chip Detection Circuit for the Verification of IC Supply Connections. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF IC connections, connection verification, supply current measurements, on-chip monitor, reliability, DFT, CMOS, Scan, Boundary Scan, IP core, Current monitor
14Fu-Chiung Cheng, Stephen H. Unger, Michael Theobald Self-Timed Carry-Lookahead Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF delay-insenstive circuits, tree iterative circuits, CMOS, Self-timed circuits, carry-lookahead adders
14Michele Favalli, Cecilia Metra Bridging Faults in Pipelined Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault modeling, bridging faults, CMOS circuits, pipelined circuits
14Rong Lin Parallel Multiplier Designs Utilizing A Non-Binary Logic Scheme. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF parallel counter and compressor, low power high performance CMOS circuit design, VLSI design, Arithmetic circuit, partial product reduction
14Hans-Martin Blüthgen, Tobias G. Noll A Programmable Processor for Approximate String Matching with High Throughput Rate. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF information retrieval, VLSI, dynamic programming, CMOS, edit distance, approximate string matching
14Chun Lu, Bingxue Shi Circuit Realization of a Programmable Neuron Transfer Function and Its Derivative. Search on Bibsonomy IJCNN (4) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Artificial Neural Networks (ANN), CMOS analogue integrated circuits
14Masayuki Tsukisaka, Takashi Nanya A testable design for asynchronous fine-grain pipeline circuits. Search on Bibsonomy PRDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF asynchronous fine-grain pipeline circuits, dynamic gates, high-performance datapath design, pipeline latches, scan latch libraries, logic testing, logic CAD, SPICE, CMOS technology, scan path, SPICE simulation, testable design
14Takahiro Hozumi, Osamu Kakusho, Yutaka Hata The Output Permutation for the Multiple-Valued Logic Minimization with Universal Literals. Search on Bibsonomy ISMVL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF universal literal, output permutation, current-mode CMOS circuits, logic synthesis, cost reduction
14Kwabena Boahen 0001 A Throughput-On-Demand Address-Event Transmitter for Neuromorphic Chips. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Address-Events, Retinomorphic, VLSI, TDMA, Spiking Neurons, CMOS Imager, Neuromorphic
14Sadiq M. Sait, Habib Youssef, Munir M. Zahra Tabu Search Based Circuit Optimization. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF CMOS/BiCMOS, Mixed Technologies, Tabu Search, Search Algorithms, Critical Path, False Path, Circuit Optimization
14R. V. K. Pillai, Asim J. Al-Khalili, Dhamin Al-Khalili A Low Power Floating Point Accumulator. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power CMOS, Digital arithmetic, VLSI architecture, floating point
14Cheng-Wen Wu On energy efficiency of VLSI testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF test efficiency models, CMOS power consumption model, high testability, high power dissipation, high-power testing, transition activity factor, fabricated chip, testing energy, VLSI, energy efficiency, fault coverage, design optimization, VLSI testing, testing time, test efficiency, testing power
14Michael J. Flynn What's ahead in computer design? Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF die area improvement, very high level superscalar processors, very large cache, pin bandwidth, processor complexity, scalability, multiprocessors, logic design, instruction level parallelism, VLIW, CMOS technology, lithography, cycle time, computer design, silicon area, cache size
14Peter M. Kuhn, Andreas Weisgerber, Robert Poppenwimmer, Walter Stechele A flexible VLSI architecture for variable block size segment matching with luminance correction. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF flexible VLSI architecture, variable block size segment matching, luminance correction, segment matching VLSI architecture, evolving motion estimation algorithms, preprocessing unit, halfpel interpolation, pixel decimation, VHDL synthesis, VLSI, CMOS technology, motion vectors, RAM, block matching algorithms, video coding standards
14Ron Lin Shift Switching with Domino Logic: Asynchronous VLSI Comparator Schemes. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF shift switching, asynchronous VLSI comparator, precharged CMOS domino logic, VLSI, semaphore
14Gab Joong Jeong, Kyoung Hwan Kwon, Moon Key Lee, Seung Han An A Scalable Memory System Design. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF scalable memory system, pipeline technique, systolic data flow, sub-memory blocks, partial binary tree structure, multidirectional data flow, chip size, 4 kbit, 0.8 micron, 5.1 ns, 3.5 mm, throughput, latency, memory architecture, memory architecture, CMOS technology, communication channel, access time, operating speed, clock speed
14D. A. Pierce, Parag K. Lala Modular implementation of efficient self-checking checkers for the Berger code. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF conventional Berger code, 1's counters, fully-testable circuits, partitioning, CMOS technology, totally self-checking checkers, Berger code
14Massoud Pedram Power minimization in IC design: principles and applications. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF adiabatic circuits, dynamic power dissipation, low power layout, low power synthesis, lower-power design, power analysis and estimation, power minimization and management, silicon-on-insulator technology, switched capacitance, synthesis, system design, power management, layout, probabilistic analysis, symbolic simulation, CMOS circuits, switching activity, statistical sampling, computer-aided design of VLSI, gated clocks, energy-delay product
14Christian Piguet, Thierry Schneider, Jean-Marc Masgonty, Claude Arm, Serge Durand, M. Stegers Low-Power Embedded Microprocessor Design. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF low-power embedded microprocessor design, low-power RISC-like architectures, gated clock techniques, power savings, microprocessor chips, CMOS technology, hierarchical memories, clock cycles
14S. B. Aruru, N. Ranganathan, Kameswara Rao Namuduri A VLSI chip for image compression using variable block size segmentation. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF variable block size segmentation, VBSS scheme, variable size blocks, redundancy features, maximum compression, nearest neighbor communication, CMOS VLSI chip, image characteristics extraction subsystem, Cadence design tools, VLSI, parallelism, pipelining, image compression, VLSI architecture, VLSI implementation, lossless image compression, VLSI chip, coding techniques
14Navin Chaddha, Mohan Vishwanath A low power video encoder with power, memory and bandwidth scalability. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF low power video encoder, power scalability, memory scalability, bandwidth scalability, portable video, generic block transform, memory rate distortion, perceptually weighted hierarchical vector quantization, 150 to 300 W, 0.8 micron, 1.5 V, video coding, power consumption, transform coding, table lookup, table lookup, CMOS technology, vector quantisation, block codes
14Janusz A. Brzozowski, Kaamran Raahemifar Testing C-elements is not elementary. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF C-elements testing, gate circuits, C-element, CMOS implementations, logic testing, logic tests, asynchronous circuits, fault location, stuck-at faults, speed-independence
14Branka Medved Rogina, Bozidar Vojnovic Metastability evaluation method by propagation delay distribution measurement. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF propagation delay distribution measurement, edge-triggered flip-flops, input signals time relationship, output signal timing characteristics, analytical representation, propagation delay density distribution function, fault events, integrated propagation delay density distribution function, flip-flop normal propagation delay, resolution time constant, automatic data acquisition, complex architecture microsystems, MTBF, latch devices, custom CMOS, VLSI, VLSI, fault diagnosis, logic testing, delays, logic design, asynchronous circuits, flip-flops, data acquisition, failure analysis, reliability analysis, graphical representation, metastability, PLD, asynchronous logic, integrated circuit reliability, statistical measurement
14Gensoh Matsubara, Nobuhiro Ide, Haruyuki Tago, Seigo Suzuki, Nobuyuki Goto 30-ns 55-b Radix 2 Division and Square Root Using a Self-Timed Circuit. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF simulation, CMOS, division, square root, self-timed, SRT, on-the-fly
14Qiang Li, David B. Gustavson Fat-tree for local area multiprocessors. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF local area multiprocessors, LAMP, high-performance low-cost parallel computing, LAN-size area, remote data cache, high performance multiprocessor, point-to-point physical connections, high system throughput, fat-tree topology, cable length, link clock speeds, biCMOS chips, performance evaluation, parallel architectures, parallel architecture, multiprocessor interconnection networks, local area networks, latency, packet switching, packet switch, CMOS, shared memory systems, distributed memory systems, simulation results, cache storage, system buses, SCI, buffer requirements, distributed-shared-memory multiprocessor, scalable coherent interface
14Takahiro Hanyu, Akira Mochizuki, Michitaka Kameyama Multiple-Valued Arithmetic Integrated Circuits Based on 1.5V-Supply Dual-Rail Source-Coupled Logic. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiple-valued arithmetic integrated circuits, dual-rail source-coupled logic, multiple-valued current-mode MOS integrated circuit, high-speed arithmetic systems, multiple-valued source-coupled logic circuit, dual-rail complementary inputs, pipelined multiplier, 54 bit, 200 MHz, 0.8 mum, 1.5 V, CMOS logic circuits, multiplying circuits, multivalued logic circuits, current-mode logic, pipeline arithmetic
14Wai-Chi Fang, Bing J. Sheu, Holger Venus, Rainer Sandau Smart-pixel array processors based on optimal cellular neural networks for space sensor applications. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF artificial satellites, smart-pixel array processors, optimal cellular neural networks, space sensor applications, hardware annealing, digitally programmable synaptic weights, multisensor parallel interface, programmable multi-dimensional array, optoelectronic neurons, neuroprocessor, scalable multiprocessor system, intelligent multisensor, advanced small satellites, neuroprocessor array chip, performance evaluation, real-time systems, parallel processing, CMOS technology, image sensors, aerospace computing, computing performance, neural chips, intelligent sensors, neural net architecture, active-pixel sensors, cellular neural nets
14Chuan-Yu Wang, Kaushik Roy 0001 Control unit synthesis targeting low-power processors. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF control unit synthesis, low-power processors, low-power decoding scheme, microprogrammed architecture, nanoprogrammed architecture, 8086 instruction set, instruction opcodes, computer architecture, logic design, encoding, decoding, microprocessor chips, graph embedding, instruction sets, microprogramming, CMOS circuits, logic minimization, system reliability, switching activity, minimisation of switching nets, pseudo-Boolean programming
14H. Dhanesha, K. Falakshahi, Mark Horowitz Array-of-arrays architecture for parallel floating point multiplication. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF array-of-arrays architecture, parallel floating point multiplication, mantissa path, IEEE standard 754, dual-rail domino, HSpice simulation, capacitive load model, 53 bit, 10 ns, 4.3 V, 120 C, parallel architectures, trees, latency, floating point arithmetic, multiplying circuits, CMOS technology, Verilog, synergy, 1 micron
14James Phillips, Stamatis Vassiliadis High-Performance 3-1 Interlock Collapsing ALU's. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF 3-1 interlock collapsing ALU, execution interlocks, multiple instruction issuing machines, parallel architectures, delay, digital arithmetic, CMOS technology, critical path, reduced instruction set computing, Boolean equations
14Michele Favalli, Piero Olivo, Bruno Riccò, Fabio Somenzi Fault simulation for general FCMOS ICs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF fault simulation, bridging faults, CMOS circuits, stuck-open faults, critical path analysis
14Dursun Baran, Mustafa Aktan, Vojin G. Oklobdzija Energy efficient implementation of parallel CMOS multipliers with improved compressors. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF arithmetic and logic structures, VLSI, low-power design, high- speed arithmetic, booth encoding
14Leila Koushaeian, Stan Skafidas A 65nm CMOS low-power, low-voltage bandgapreference with using self-biased composite cascode opamp. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bandgap voltage reference, self-biased, self-cascode, temperature coefficient, voltage reference
14Nandish Ashutosh Mehta, Gururaj V. Naik, Bharadwaj S. Amrutur In-situ power monitoring scheme and its application in dynamic voltage and threshold scaling for digital CMOS integrated circuits. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF DVTS loop, in-situ power monitor, power optimum point, variable body bias, variable supply voltage, low power, ground bounce
14Kagan Irez, Jiaping Hu, Charles A. Zukowski Characteristics of MS-CMOS logic in sub-32nm technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF MSCMOS, gate leakage reduction, hs&ls, logic overhead, upsizing, noise margin, input vector, domino, downsizing
14Hsiu-Ming Chang 0001, Jiun-Lang Huang, Ding-Ming Kwai, Kwang-Ting (Tim) Cheng, Cheng-Wen Wu An error tolerance scheme for 3D CMOS imagers. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF image sensor, error tolerance, 3D IC
14Yngvar Berg, Omid Mirmotahari Low voltage precharge CMOS logic. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Jacek Gradzki, Tomasz Borejko, Witold A. Pleskacz Low voltage LNA implementations in 90 nm CMOS technology for multistandard GNSS. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Georges G. E. Gielen Design tools and circuit solutions for degradation-resilient analog circuits in nanometer CMOS. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Ahmet Öncü, Minoru Fujishima Low-power CMOS transceiver circuits for 60GHz band millimeter-wave impulse radio. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Xiaolei Zhu, Sanroku Tsukamoto, Tadahiro Kuroda A 1 GHz CMOS comparator with dynamic offset control technique. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Ken Ueno, Tetsuya Hirose, Tetsuya Asai, Yoshihito Amemiya A 300 nW, 7 ppm/degreeC CMOS voltage reference circuit based on subthreshold MOSFETs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Samiran DasGupta, Pradip Mandal An automated design approach for CMOS LDO regulators. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Genival Mariano de Araujo, Heider Marconi G. Madureira, José Camargo da Costa Design and characterization of a 0.35 micron CMOS voltage-to-current converter. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF voltage-to-current converter, system on chip, current reference
14Kuande Wang, Li Chen, Jinsheng Yang AN ultra low power fault tolerant SRAM design in 90nm CMOS. Search on Bibsonomy CCECE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Sanjay Kumar Wadhwa A Low Voltage CMOS Proportional-to-Absolute Temperature Current Reference. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Sheng-Chih Lin, Kaustav Banerjee A Design-Specific and Thermally-Aware Methodology for Trading-Off Power and Performance in Leakage-Dominant CMOS Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Flavio Carbognani, Felix Bürgin, Norbert Felber, Hubert Kaeslin, Wolfgang Fichtner Transmission Gates Combined With Level-Restoring CMOS Gates Reduce Glitches in Low-Power Low-Frequency Multipliers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Brajesh Kumar Kaushik, Sankar Sarkar Crosstalk Analysis for a CMOS-Gate-Driven Coupled Interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Gülin Tulunay, Sina Balkir A Synthesis Tool for CMOS RF Low-Noise Amplifiers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Tobias Massier, Helmut E. Graeb, Ulf Schlichtmann The Sizing Rules Method for CMOS and Bipolar Analog Integrated Circuit Synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Ramyanshu Datta, Ravi Gupta, Antony Sebastine, Jacob A. Abraham, Manuel A. d'Abreu Controllability of Static CMOS Circuits for Timing Characterization. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Design for test, Delay fault testing, Scan design
14Snorre Aunet, Bengt Oelmann, Per Andreas Norseng, Yngvar Berg Real-Time Reconfigurable Subthreshold CMOS Perceptron. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Marcin J. Beresinski, Tomasz Borejko, Witold A. Pleskacz, Viera Stopjaková Built-In Current Monitor for IDDQ Testing in CMOS 90 nm Technology. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Baozhen Chen, Chengwu Tao, Sumarlin William, Santosh Pandey Biochemical sensing of charged polyelectrolytes with a novel CMOS floating-gate device architecture. Search on Bibsonomy EIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Luciano Severino de Paula, Altamiro Amadeu Susin, Sergio Bampi A wide band CMOS differential voltage-controlled ring oscillator. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SSB mixer, wide-band oscillators, voltage-controlled oscillator, frequency synthesizer
14Jorge Oliveros, Dwight Cabrera, Elkim Roa, Wilhelmus A. M. Van Noije An improved and automated design tool for the optimization of CMOS OTAs using geometric programming. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF OTA design, analog CAD, analog circuit optimization, design methodologies, geometric programming
14Pietro Maris Ferreira, José Gabriel Rodríguez Carneiro Gomes, Antonio Petraglia Current mode read-out circuit for infrared photodiode applications in 0.35 mum cmos. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF infra-red, read-out, image sensor, current-mode
14Somnath Paul, Saibal Mukhopadhyay, Swarup Bhunia Hybrid CMOS-STTRAM non-volatile FPGA: design challenges and optimization approaches. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF STTRAM, emerging memory technologies, nonvolatile FPGA
14Joseph T.-s. Tsai, Herming Chiueh High linear voltage references for on-chip CMOS smart temperature sensor from -60degreeC to 140degreeC. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Kuo-Ken Huang, Sen Wang, Ching-Kuang C. Tzuang Active bandpass filter using transformer feedback in 0.18-µm CMOS for 802.11a wireless LAN. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Saul Rodriguez 0001, Ana Rusu, Li-Rong Zheng 0001, Mohammed Ismail 0001 Digital calibration of gain and linearity in a CMOS RF mixer. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Ming-Dou Ker, Chun-Yu Lin 0001, Guo-Xuan Meng ESD protection design for fully integrated CMOS RF power amplifiers with waffle-structured SCR. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Tongqiang Gao, Chun Zhang, Baoyong Chi, Zhihua Wang 0001 An improved method of power control with CMOS class-E power amplifiers. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Benoit Gosselin, Mohamad Sawan An ultra low-power CMOS action potential detector. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Mohammad B. Vahidfar, Omid Shoaei An IIP2 calibration technique for CMOS multi-standard mixers. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Mohammad B. Vahidfar, Omid Shoaei A CMOS high IIP2 mixer for multi-standard receivers. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Sedigheh Hashemi, Omid Shoaei A 0.9V 10-bit 100 MS/s switched-RC pipelined ADC without using a front-end S/H in 90nm CMOS. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Christian Peters, Fabian Henrici, Maurits Ortmanns, Yiannos Manoli High-bandwidth floating gate CMOS rectifiers with reduced voltage drop. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Bradley A. Minch A simple class-AB transconductor in CMOS. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Chen-Yuan Chu, Chien-Cheng Wei, Hui-Chen Hsu, Shu-Hau Feng, Wu-Shiung Feng A 24GHz low-power CMOS receiver design. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 24872 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license