The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FPGAs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1992 (17) 1993 (24) 1994 (39) 1995 (70) 1996 (91) 1997 (71) 1998 (174) 1999 (146) 2000 (141) 2001 (145) 2002 (189) 2003 (238) 2004 (313) 2005 (324) 2006 (363) 2007 (373) 2008 (466) 2009 (335) 2010 (271) 2011 (275) 2012 (235) 2013 (278) 2014 (297) 2015 (287) 2016 (279) 2017 (270) 2018 (301) 2019 (307) 2020 (269) 2021 (238) 2022 (240) 2023 (265) 2024 (54)
Publication types (Num. hits)
article(1561) book(9) data(1) incollection(32) inproceedings(5688) phdthesis(79) proceedings(15)
Venues (Conferences, Journals, ...)
ReConFig(858) FPL(762) FPGA(529) FCCM(383) CoRR(245) FPT(180) DATE(121) IPDPS(121) IEEE Trans. Very Large Scale I...(119) DAC(114) IEEE Trans. Comput. Aided Des....(114) ACM Trans. Reconfigurable Tech...(107) ARC(96) ICCAD(85) ISCAS(80) DSD(63) More (+10 of total 806)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3198 occurrences of 1135 keywords

Results
Found 7385 publication records. Showing 7385 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Jae-Jin Lee, Gi-Yong Song Super Semi-systolic Array-Based Application-Specific PLD Architecture. Search on Bibsonomy ARC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Scott J. Campbell, Sunil P. Khatri Resource and delay efficient matrix multiplication using newer FPGA devices. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, floating point, multiplier, matrix
17Xin Jia, Ranga Vemuri CAD Tools for a Globally Asynchronous Locally Synchronous FPGA Architecture. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Sanghamitra Roy, Prith Banerjee An Algorithm for Trading Off Quantization Error with Hardware Resources for MATLAB-Based FPGA Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF field programmable gate arrays, Automation, quantization, floating-point arithmetic, fixed-point arithmetic
17Kara K. W. Poon, Steven J. E. Wilton, Andy Yan A detailed power model for field-programmable gate arrays. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Power estimation model, architecture, sensitivity analysis, power consumption
17Sajid Baloch, Imran Ahmed 0001, Tughrul Arslan Domain-Specific Reconfigurable Array Targeting Discrete Wavelet Transform for System-on-Chip Applications. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Weisheng Chong, Sho Ogata, Masanori Hariyama, Michitaka Kameyama Architecture of a Multi-Context FPGA Using Reconfigurable Context Memory. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Young-Su Kwon, Payam Lajevardi, Anantha P. Chandrakasan, Frank Honoré, Donald E. Troxel A 3-D FPGA wire resource prediction model validated using a 3-D placement and routing tool. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 3-D FPGA, wire resource prediction
17Viktor K. Prasanna High Performance Computing using Reconfigurable Hardware. Search on Bibsonomy ENC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Xizhen Xu, Sotirios G. Ziavras H-SIMD Machine: Configurable Parallel Computing for Matrix Multiplication. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Kuan Zhou, John F. McDonald 0001 Multi-GHz SiGe design methodologies for reconfigurable computing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF CLB, virtex, FPGA, SiGe
17Ling Zhuo, Viktor K. Prasanna High Performance Linear Algebra Operations on Reconfigurable Systems. Search on Bibsonomy SC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Carl Ebeling, Chris Fisher, Guanbin Xing, Manyuan Shen, Hui Liu 0011 Implementing an OFDM Receiver on the RaPiD Reconfigurable Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Data communications devices, application studies resulting in better multiple-processor systems, reconfigurable hardware, wireless systems, special-purpose and application-based systems, adaptable architectures, heterogeneous (hybrid) systems, design studies, signal processing systems
17Nicholas Weaver, John R. Hauser, John Wawrzynek The SFRA: a corner-turn FPGA architecture. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA CAD, FPGA design study, FPGA optimization, FPGA architecture
17Maya B. Gokhale, Janette Frigo, Christine Ahrens, Justin L. Tripp, Ronald G. Minnich Monte Carlo Radiative Heat Transfer Simulation on a Reconfigurable Computer. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Mike Hutton Advances and trends in FPGA design. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Sami Khawam, Tughrul Arslan, Fred Westall Synthesizable Reconfigurable Array Targeting Distributed Arithmetic for System-on-Chip Applications. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17David Wentzlaff, Anant Agarwal A Quantitative Comparison of Reconfigurable, Tiled, and Conventional Architectures on Bit-Level Computation. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Fei Li 0003, Yan Lin 0001, Lei He 0001 Vdd programmability to reduce FPGA interconnect power. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Ross Snider, Yongming Zhu Developing a Data Driven System for Computational Neuroscience. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Roar Lien, Tim Grembowski, Kris Gaj A 1 Gbit/s Partially Unrolled Architecture of Hash Functions SHA-1 and SHA-512. Search on Bibsonomy CT-RSA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Seonil Choi, Ju-wook Jang, Sumit Mohanty, Viktor K. Prasanna Domain-Specific Modeling for Rapid Energy Estimation of Reconfigurable Architectures. Search on Bibsonomy J. Supercomput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA, energy optimization, domain-specific modeling, energy estimation
17Fernanda Lima 0001, Luigi Carro, Ricardo Augusto da Luz Reis Reducing pin and area overhead in fault-tolerant FPGA-based designs. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault-tolerance, FPGA
17Seokjin Lee, Hua Xiang 0001, D. F. Wong 0001, Richard Y. Sun Wire type assignment for FPGA routing. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF min-cost flow algorithm, wire type assignment, FPGA routing
17Sumit Mohanty, Viktor K. Prasanna An Algorithm Designer's Workbench for Platform FPGA's. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Heather Quinn, Laurie A. Smith King, Miriam Leeser, Waleed Meleis Runtime Assignment of Reconfigurable Hardware Components for Image Processing Pipelines. Search on Bibsonomy FCCM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Michael J. Wirthlin, Darrel Eric Johnson, Nathan Rollins, Michael P. Caffrey, Paul S. Graham The Reliability of FPGA Circuit Designs in the Presence of Radiation Induced Configuration Upsets. Search on Bibsonomy FCCM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Jason Cong, Yizhou Lin, Wangning Long SPFD-based global rewiring. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA synthesis, SPFD, SPFD-based global rewiring, logical re-synthesis
17Deshanand P. Singh, Stephen Dean Brown Constrained clock shifting for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17K. K. Lee, D. F. Wong 0001 Incremental reconfiguration of multi-FPGA systems. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17J. Bryan Lewis, Ivo Bolsens, Rudy Lauwereins, Chris Wheddon, Bhusan Gupta, Yankin Tanurhan Reconfigurable SoC - What Will it Look Like? Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Thomas Lehmann, Andreas Schreckenberg Case Study of Integration of Reconfigurable Logic as a Coprocessor into a SCI-Cluster under RT-Linux. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Mohammed A. S. Khalid, Jonathan Rose A novel and efficient routing architecture for multi-FPGA systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Amit Singh 0001, Luca Macchiarulo, Arindam Mukherjee 0001, Malgorzata Marek-Sadowska A novel high throughput reconfigurable FPGA architecture. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Stephen J. Bellis, William P. Marnane A CORDIC Arctangent FPGA Implementation for a High-Speed 3D-Camera System. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Monica Alderighi, Sergio D'Angelo, Giacomo R. Sechi EVIDENCE: An FPGA-Based System for Photon EVent IDENtification and CEntroiding. Search on Bibsonomy FCCM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17José Ignacio Hidalgo, Juan Lanchares, Román Hermida Partitioning and Placement for Multi-FPGA Systems Using Genetic Algorithms. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17John Morris, Gary A. Bundell, Sonny Tham A Re-Configurable Processor for Petri Net Simulation. Search on Bibsonomy HICSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17James Hwang, Cameron Patterson, Sujoy Mitra VHDL Placement Directives for Parametric IP Blocks. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Masaru Katayama, Atsushi Takahara, Toshiaki Miyazaki Reconfigurable Signal Probing Mechanism for a Transmutable Telecom System. Search on Bibsonomy ICPP Workshops The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Gi-Joon Nam, Karem A. Sakallah, Rob A. Rutenbar Satisfiability-Based Detailed FPGA Routing. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Mohammed A. S. Khalid, Jonathan Rose A Hybrid Complete-Graph Partial-Crossbar Routing Architecture for Multi-FPGA Systems. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Jason Cong, Yuzheng Ding Combinational logic synthesis for LUT based field programmable gate arrays. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF FPGA, routing, synthesis, system design, decomposition, technology mapping, simplification, programmable logic, power minimization, logic optimization, delay modeling, delay minimization, computer-aided design of VLSI, area minimization
17Nozomu Togawa, Masao Sato, Tatsuo Ohtsuki A simultaneous technology mapping, placement, and global routing algorithm for field-programmable gate arrays. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Marianne E. Louie, Milos D. Ercegovac On digit-recurrence division implementations for field programmable gate arrays. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Stephen Dean Brown, Jonathan Rose, Zvonko G. Vranesic A detailed router for field-programmable gate arrays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Huimin Bian, Andrew C. Ling, Alexander Choong, Jianwen Zhu Towards scalable placement for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, convex optimization, quadratic placement, bipartite matching
16Edward A. Stott, Justin S. J. Wong, N. Pete Sedcole, Peter Y. K. Cheung Degradation in FPGAs: measurement and modelling. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, self test
16Shreesha Srinath, Katherine Compton Automatic generation of high-performance multipliers for FPGAs with asymmetric multiplier blocks. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF asymmetric multipliers, composable multipliers, multiplier design
16Larkhoon Leem, James A. Weaver, Metha Jeeradit, James S. Harris Jr. Nano-magnetic non-volatile CMOS circuits for nano-scale FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF spin-torque devices, fpga, spintronics
16Hoang Le, Yi-Hua E. Yang, Viktor K. Prasanna Memory efficient string matching: a modular approach on FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF deep packet classification, fpga, packet filtering
16Julien Lamoureux, Scott Miller, Mihai Sima Fine-grained vs. coarse-grained shift-and-add arithmetic in FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF shift-and-add arithmetic, fpga, cordic, coarse-grained
16Amir Masoud Gharehbaghi, Bijan Alizadeh, Masahiro Fujita Aggressive overclocking support using a novel timing error recovery technique on FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF overclocking, timing error detection, timing error recovery, fpga
16René Müller 0001, Jens Teubner FPGAs: a new point in the database design space. Search on Bibsonomy EDBT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF FPGA, VLSI, hardware acceleration, data processing
16Alessandro Cevrero, Panagiotis Athanasopoulos, Hadi Parandeh-Afshar, Ajay Kumar Verma, Seyed-Hosein Attarzadeh-Niaki, Chrysostomos Nicopoulos, Frank K. Gürkaynak, Philip Brisk, Yusuf Leblebici, Paolo Ienne Field Programmable Compressor Trees: Acceleration of Multi-Input Addition on FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Field Programmable Compressor Tree (FPCT), compressor tree, Field Programmable Gate Array (FPGA)
16Eric S. Chung, Michael Papamichael, Eriko Nurvitadhi, James C. Hoe, Ken Mai, Babak Falsafi ProtoFlex: Towards Scalable, Full-System Multiprocessor Simulations Using FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulator, FPGA, prototype, multiprocessor, multicore, emulator
16Timothy R. Pearson Real-time invariant textural object recognition with FPGAs. Search on Bibsonomy EIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Lei Chen 0010, Zhiquan Zhang, Zhiping Wen 0001 A novel BIST approach for testing input/output buffers in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF i/o buffers, built-in self-test, fpga testing
16Weirong Jiang, Viktor K. Prasanna Large-scale wire-speed packet classification on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, decision tree, pipeline, sram, packet classification
16Dirk Koch, Christian Beckhoff, Jürgen Teich A communication architecture for complex runtime reconfigurable systems and its implementation on spartan-3 FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, reconfiguration, communication architecture
16David B. Thomas, Lee W. Howes, Wayne Luk A comparison of CPUs, GPUs, FPGAs, and massively parallel processor arrays for random number generation. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF mppa, fpga, monte-carlo, random numbers, gpu
16Sumanta Chaudhuri Diagonal tracks in FPGAs: a performance evaluation. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF diagonal tracks, octagonal, fpga, hexagonal
16Jason Helge Anderson Emerging application domains: research challenges and opportunities for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, field-programmable gate array, fpga, applications, high-performance computing, power, reconfigurable computing
16Val Pevzner, Andrew A. Kennings, Andy Fox Physical optimization for FPGAs using post-placement topology rewriting. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, timing optimization, physical synthesis
16Nikolaos Alachiotis 0001, Euripides Sotiriades, Apostolos Dollas, Alexandros Stamatakis Exploring FPGAs for accelerating the phylogenetic likelihood function. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Alexander Klimm, Oliver Sander, Jürgen Becker 0001 A MicroBlaze specific co-processor for real-time hyperelliptic curve cryptography on Xilinx FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Luca Sterpone Timing Driven Placement for Fault Tolerant Circuits Implemented on SRAM-Based FPGAs. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault tolerance, FPGA, Single Event Upset, Triple Modular Redundancy, Timing-driven Placement
16Heiner Litz, Holger Fröning, Ulrich Brüning 0001 A HyperTransport 3 Physical Layer Interface for FPGAs. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Alexandros Papakonstantinou, Karthik Gururaj, John A. Stratton, Deming Chen, Jason Cong, Wen-mei W. Hwu High-performance CUDA kernel execution on FPGAs. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cuda programming model, fpga, high level synthesis, high performance computing, gpu, coarse grained parallelism
16Taiga Takata, Yusuke Matsunaga An efficient cut enumeration for depth-optimum technology mapping for LUT-based FPGAs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, logic synthesis, technology mapping
16Akhilesh Kumar, Mohab Anis IR-drop management CAD techniques in FPGAs for power grid reliability. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Yohei Matsumoto, Masakazu Hioki, Takashi Kawanami, Hanpei Koike, Toshiyuki Tsutsumi, Tadashi Nakagawa, Toshihiro Sekigawa Suppression of Intrinsic Delay Variation in FPGAs using Multiple Configurations. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, configuration, within-die variation, timing yield
16Satish Sivaswamy, Kia Bazargan Statistical Analysis and Process Variation-Aware Routing and Skew Assignment for FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF skew assignment, routing, Statistical timing analysis
16Yan Lin 0001, Lei He 0001, Mike Hutton Stochastic Physical Synthesis Considering Prerouting Interconnect Uncertainty and Process Variation for FPGAs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Ignacio Bravo Muñoz, Manuel Mazo 0001, José Luis Lázaro, Pedro Jiménez, Alfredo Gardel Vicente, Marta Marrón Novel HW Architecture Based on FPGAs Oriented to Solve the Eigen Problem. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Julien Lamoureux, Guy G. Lemieux, Steven J. E. Wilton GlitchLess: Dynamic Power Minimization in FPGAs Through Edge Alignment and Glitch Filtering. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry Input Vector Reordering for Leakage Power Reduction in FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Yu Hu 0002, Victor Shih, Rupak Majumdar, Lei He 0001 Exploiting Symmetries to Speed Up SAT-Based Boolean Matching for Logic Synthesis of FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Zhi Guo, Walid A. Najjar, Betul Buyukkurt Efficient hardware code generation for FPGAs. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, high-level synthesis, VHDL, Reconfigurable computing, data reuse
16Rajarshi Mukherjee, Song Liu, Seda Ogrenci Memik, Somsubhra Mondal A high-level clustering algorithm targeting dual Vdd FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clustering, field programmable gate arrays, partitioning, placement, voltage scaling, Dynamic power
16Bernd Neumann, Thorsten von Sydow, Holger Blume, Tobias G. Noll Application Domain Specific Embedded FPGAs for Flexible ISA-Extension of ASIPs. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF eFPGA, Parametrisable architecture, Arithmetic oriented, Processor-eFPGA coupling, ASIP
16Andrzej Krasniewski Concurrent Error Detection for Combinational Logic Blocks Implemented with Embedded Memory Blocks of FPGAs. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Rosemary M. Francis, Simon W. Moore, Robert D. Mullins A Network of Time-Division Multiplexed Wiring for FPGAs. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF time division multplexing, fpga, network-on-chip
16Florent de Dinechin, Jérémie Detrey, Octavian Cret, Radu Tudoran When FPGAs are better at floating-point than microprocessors. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, floating-point, arithmetic
16Terrence S. T. Mak, N. Pete Sedcole, Peter Y. K. Cheung, Wayne Luk High-throughput interconnect wave-pipelining for global communication in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Mingjie Lin, Abbas El Gamal TORCH: a design tool for routing channel segmentation in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, performance analysis, segmentation, routing architecture
16Eric S. Chung, Eriko Nurvitadhi, James C. Hoe, Babak Falsafi, Ken Mai A complexity-effective architecture for accelerating full-system multiprocessor simulations using FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulator, FPGA, prototype, multiprocessor, multicore, emulator
16Ian Kuon, Jonathan Rose Area and delay trade-offs in the circuit and architecture design of FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, FPGA, architecture
16Luca Sterpone, M. A. Aguirre, Jonathan Noel Tombs, Hipólito Guzmán-Miranda On the design of tunable fault tolerant circuits on SRAM-based FPGAs for safety critical applications. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Bernd Neumann, Thorsten von Sydow, Holger Blume, Tobias G. Noll Design flow for embedded FPGAs based on a flexible architecture template. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Taiga Takata, Yusuke Matsunaga Area recovery under depth constraint by Cut Substitution for technology mapping for LUT-based FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Shilpa Bhoj, Dinesh Bhatia A dynamic temperature control simulation system for FPGAs. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Gang Zhou, Li Li 0027, Harald Michalik Area optimization of bit parallel finite field multipliers with fast carry logic on FPGAS. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16O. Wohlmuth Keynote: High performance computing based on FPGAS. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Hanyu Liu, Xiaolei Chen, Yajun Ha An architecture and timing-driven routing algorithm for area-efficient FPGAs with time-multiplexed interconnects. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Kazutoshi Kobayashi, Yohei Kume, Cam Lai Ngo, Yuuri Sugihara, Hidetoshi Onodera A variation-aware constant-order optimization scheme utilizing delay detectors to search for fastest paths on FPGAS. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Paul Schumacher, Pradip Jha Fast and accurate resource estimation of RTL-based designs targeting FPGAS. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Xing Wei, Juanjuan Chen, Qiang Zhou 0001, Yici Cai, Jinian Bian, Xianlong Hong MacroMap: A technology mapping algorithm for heterogeneous FPGAs with effective area estimation. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Jorge Surís, Cameron D. Patterson, Peter Athanas An efficient run-time router for connecting modules in FPGAS. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Alexander Klimm, Lars Braun, Jürgen Becker 0001 An adaptive and scalable multiprocessor system For Xilinx FPGAs using minimal sized processor cores. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 7385 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license